在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2387|回复: 3

[求助] system verilog dpi遇到一个问题

[复制链接]
发表于 2014-5-10 12:07:54 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
b.cpp
#include<iostream>
#include<sstream>
#include "svdpi.h"
using namespace std;
void reportc(){
cout<<"hello"<<endl;
}

test.sv
import "DPI-C" reportc=taks report();
program main();
initial begin
report();
end
endprogram

compile:

ncvlog -sv test.sv
ncelab main
gcc -fPIC -m32 -shared -o libdpi.so b.cpp -I/eda/candence/ius92/tools/inca/include
ncsim main

报错: OSDLERROR: ./libdpi.so : undefined symbol: _ZSt4endLicStllchar_traitsIcEERSt13basic_ostreamIT_T0_Es6_
这是什么问题
发表于 2014-5-14 22:41:42 | 显示全部楼层
将cpp换成.c
发表于 2014-7-2 10:44:20 | 显示全部楼层
cpp代码里的函数要声明一下,改成
extern "C" void reportc(){
cout<<"hello"<<endl;
}
发表于 2015-1-7 19:13:26 | 显示全部楼层
学习了
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-21 08:22 , Processed in 0.021359 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表