在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 10678|回复: 6

[求助] 如何给二维数组赋初值0?

[复制链接]
发表于 2014-4-29 09:50:40 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
我查到了一种方法:
reg  [3:0]  ram[3:0];
integer  i;
initial
begin
  for(i=0;i<4;i=i+1)
    ram[i] = 0;
end


但我想知道能不能在定义这个二维数组的时候就全部赋值为0?

我尝试这么写但是被报错:
reg [width-1:0] ram [depth-1:0] = 0;
这么写也错:
reg [width-1:0] ram [depth-1:0] = {0};

应该怎么写呢?
发表于 2014-4-29 10:26:20 | 显示全部楼层
sv语法:reg [width-1:0] ram [depth-1:0] = '{default:0};
 楼主| 发表于 2014-4-29 10:45:52 | 显示全部楼层
本帖最后由 bu2zhouzhu 于 2014-4-29 10:52 编辑

回复 2# A1985


   Modelsim报错了 : Illegal reference to memory "ram".   因为我的是verilog文件吧。
发表于 2014-4-29 13:11:13 | 显示全部楼层
回复 1# bu2zhouzhu


verilog中应该无法在定义memory时赋初值(参考标准文档4.9.3 Memories)。可以尝试循环对memory中的每一个element赋值,或者调用$readmemb/$readmemh,从文件中获取初值。
 楼主| 发表于 2014-4-29 14:01:26 | 显示全部楼层
回复 4# rvnistelrooy


   谢谢。我明白你指的是IEEE文档。
发表于 2014-4-29 19:37:14 | 显示全部楼层
VHDL可以,好像是others=>(others=>'0')
 楼主| 发表于 2014-4-29 20:47:55 | 显示全部楼层
回复 6# yucaoxilin


   是吗?可我用的是Verilog
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-4 16:50 , Processed in 0.023773 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表