在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1388|回复: 1

[求助] 请问一下VHDL语言高手我下面这个程序怎么错了?怎么修改?

[复制链接]
发表于 2014-3-15 16:16:07 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity test_yunsuan is
    Port ( CLK                         : in  STD_LOGIC;
           disvaluein         : in  STD_LOGIC_VECTOR (3 downto 0);
           disvalueout0 : out STD_LOGIC_VECTOR (3 downto 0);
           disvalueout1 : out STD_LOGIC_VECTOR (3 downto 0));
end test_yunsuan;

architecture ben of test_yunsuan is
signal A:        std_logic_vector(7 downto 0):="00000000";
signal B0:        std_logic_vector(7 downto 0):="00000000";
signal B1:        std_logic_vector(7 downto 0):="00000000";
signal X:        std_logic_vector(7 downto 0):="00000000";

begin
        process(CLK)
        variable flag:std_logic;
        begin
        IF CLK' EVENT AND CLK='1' THEN
          case disvaluein is
                when "1101" =>B0<=B0&A;
                                          flag:='1';
                when "1110" =>B0<=B0&A;
                                          flag:='0';
                when "1111" =>B1<=B1&A;
                                          if flag='1' then
                X<=(((B0(7)&B0(6)&B0(5)&B0(4))+(B1(7)&B1(6)&B1(5)&B1(4)))*"1010")+(B0(3)&B0(2)&B0(1)&B0(0))+(B1(3)&B1(2)&B1(1)&B1(0));
                                                else         X<=((B0(7)&B0(6)&B0(5)&B0(4))*"1010")-((B1(7)&B1(6)&B1(5)&B1(4))*"1010")+(B0(3)&B0(2)&B0(1)&B0(0))-(B1(3)&B1(2)&B1(1)&B1(0));
                                          end if;
                when others =>A<=A&disvaluein;
                                          X<=X&disvaluein;                                   
          end case;
        END IF;                                   
  end process;


end ben;
 楼主| 发表于 2014-3-15 16:16:43 | 显示全部楼层
错误提示:
Error (10327): VHDL error at test_yunsuan.vhd(33): can't determine definition of operator ""+"" -- found 5 possible definitions


程序中:
&#160; &#160; &#160; &#160; &#160; &#160; &#160; &#160; X<=(((B0(7)&B0(6)&B0(5)&B0(4))+(B1(7)&B1(6)&B1(5)&B1(4)))*"1010")+(B0(3)&B0(2)&B0(1)&B0(0))+(B1(3)&B1(2)&B1(1)&B1(0));
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-19 00:59 , Processed in 0.046649 second(s), 12 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表