在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3903|回复: 11

[资料] systemverilog编译介绍.pdf

[复制链接]
发表于 2014-3-11 17:32:16 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
systemverilog编译介绍.pdf (417.7 KB, 下载次数: 185 )
许多书籍都是介绍systenverilog语言的语法知识的,文章中很少内容讲解怎么将程序编译并且运行的。 本文介绍在windows环境下,systemverilog利用gcc和modelsim进行编译,并且运行仿真结果,gcc(MinGW)的安装或可能遇到的问题参见本文附录,并且介绍了systemverilog与C语言、C++以及verilog语言之间相互调用。本文没有讲语法知识,用例子来阐述怎么样编译运行进行仿真
发表于 2014-3-12 08:51:37 | 显示全部楼层
好 顶一个
发表于 2014-3-13 15:18:19 | 显示全部楼层
下了,很有帮助
发表于 2014-3-13 17:52:02 | 显示全部楼层
非常感谢楼主分享
发表于 2014-3-13 18:15:23 | 显示全部楼层
知其然知其所以然
发表于 2017-6-18 15:19:33 | 显示全部楼层
楼主好人~~~~~~~~
发表于 2018-8-4 15:53:32 | 显示全部楼层
谢谢分享
发表于 2018-8-6 23:44:54 | 显示全部楼层
太棒啦,刚开始学UVM,楼主,给你点个赞!!!
发表于 2018-9-5 16:29:12 | 显示全部楼层
谢谢。。
发表于 2018-9-8 23:38:23 | 显示全部楼层
这本书涉及的东东有意思。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 11:35 , Processed in 0.043174 second(s), 11 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表