在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3483|回复: 1

QuartusII5.1 lpm_fifo在modelsim下编译的问题

[复制链接]
发表于 2007-3-13 13:26:45 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
使用quartusII 5.1生成Vhdl语言的LPM_fifo,在modelsim中编译altera的库(220pack.vhd和altera_mf等8个文件)后,编译LMP_FIFO出现Error: (vcom-19) Failed to access library 'altera_mf' at "altera_mf".的错误原因是LPM_FIFO中
LIBRARY altera_mf;
USE altera_mf.altera_mf_components.all;可是altera-mf库被编译过并且成功。
如果生成LPM_fifo是选用verilog语言,modelsim编译仿真不会出任何问题,我个人认为还是有一些库没编译导致错误,请高手指点!真的谢谢!
 楼主| 发表于 2007-3-13 22:27:11 | 显示全部楼层

终于解决了!累呀!

谢谢goldlion98
问题关键:
在编译altera库的时候,建库altera_mf,把所有的器件库都编译到这个库中。
在生成IP时,“LIBRARY altera_mf; ”就编译成功了。

可以有两种解决办法:
1、编译一个altera_mf库就行。
2、把LIBRARY altera_mf改为LIBRARY work。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-7-3 01:48 , Processed in 0.080665 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表