在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2448|回复: 5

[求助] xilinx pcie ipcore 仿真问题

[复制链接]
发表于 2013-12-20 12:11:45 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
在仿真PCIe IP核时,使用xilinx生成IP核自己产生的examle project,仿真CPLD TLP时,使用rp中的TSK_TX_COMPLETION_DATA可以看到CPLD TLP在rp端已经发出,但ep端接收不到这个TLP,不知道为什么,求助.
发表于 2014-3-30 17:00:30 | 显示全部楼层
请问你这个问题是怎么解决的呢?
发表于 2014-3-30 19:08:48 | 显示全部楼层
回复 1# by991


     在论坛里已经解决了这个问题,你可以仔细找一下pcie有关的帖子
发表于 2014-3-31 19:47:53 | 显示全部楼层
我一直期待着有人能解释一下,求大神指导
发表于 2014-9-16 15:15:05 | 显示全部楼层
同问。。。
这周开始搞PCIE了。。。
发表于 2014-9-16 15:16:00 | 显示全部楼层
同问。。。
这周开始搞PCIE了。。。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-10 09:10 , Processed in 0.034359 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表