在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3354|回复: 7

[求助] 仿真遇到问题,高手给分析下什么原因,谢谢!

[复制链接]
发表于 2013-9-18 17:10:20 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
用ncsim仿真,看waveform,停在某个时钟不动了,但是fsdb文件size一直在增加,一会儿就几个G,稍微多跑会儿,及几十G了。
不知道什么原因,哪位高手帮忙分析下,非常感谢!
发表于 2013-9-18 17:33:35 | 显示全部楼层
估计陷入死循环了,是不是还有其它的时钟在运行,卡在while或者wait语句?猜测而已!
发表于 2013-9-18 20:10:51 | 显示全部楼层
可能是 delta cycle 互相进入,导致时钟不进行。
发表于 2013-9-18 22:02:29 | 显示全部楼层
可能是进死循环了。编译时加上-linedebug参数,仿真时感觉进死循环时,按下ctr-c,然后敲where,就可以看到是在哪里死循环。
发表于 2013-9-19 17:29:43 | 显示全部楼层
死循环了。
发表于 2013-9-20 08:44:44 | 显示全部楼层
有没有组合电路环路?
 楼主| 发表于 2013-9-23 10:50:38 | 显示全部楼层
多谢各位,问题找到了。应该是delta cycle的问题吧,我在testbench里,同一个信号在不同的block里面有的使用“nonblocking assignment”,有的使用"blocking assignment",改了就OK了。
发表于 2018-9-2 10:17:50 | 显示全部楼层
回复 4# zw84611


    你好 我想请问一下你说这个方法是针对的nc verilog 仿真吗
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-7 06:00 , Processed in 0.032497 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表