在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 6396|回复: 5

[求助] 请教! UVM 并行sequence driver结构相关问题!

[复制链接]
发表于 2013-9-4 09:32:41 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本人刚开始弄UVM  请教UVM高手们问题
现在在一个driver对应的sequencer下,并行run多个sequence,其实也就是fork结构。
前几天在跑这些sequence时,driver和sequence的握手使用的全是全局变量,虽然比较土,但特别好用,并行的效果很好,更重要的是握手动作的可控制性很强。
现在换成了driver和sequence之间的rsp_port,带来一个问题是,每个并行sequence的driver rsp都得经过rsp_port这唯一一个port,导致总是报一些rsp queue full的ERROR,这显然是握手处理不一致,而用sv debug 并行动作或timing比较费劲。
所以问题是:
【1】有没有类似monitor和scoreboard之间加后缀的那种命名方式,让driver的rsp通过专有的port和sequence进行通信,而不是只用一个rsp_port?
比如: rsp_port_seq0.write就是给sequence0的,rsp_port_seq1.write就是给sequence1的
【2】有没有一个driver挂多个sequencer的结构? 这个还没试过
 楼主| 发表于 2013-9-4 09:45:46 | 显示全部楼层
还有一个问题,估计也比较菜,高手们海涵。。
【3】 如果在driver和sequence之间进行req-rsp握手,这套办法能用的前提是,这driver得挂在环境中。如果比如某个slave有了一个更好的BFM,代替了slave 的driver;或者刚开始使用的是比如ARM的driver,后面也换成了BFM或者实际的design。那么driver去掉了无所谓,问题是sequence也不能用了,起码得改。
所以:有没有monitor/scoreboard和sequence之间的port或者channel?类似rsp_port。这样即使因为不同的仿真级别,把driver换掉,也不会对环境产生更大影响?因为monitor和scoreboard始终都是独立的。

谢了!!!
发表于 2013-9-4 23:02:47 | 显示全部楼层
本帖最后由 tbb2009 于 2013-9-4 23:04 编辑




   driver就是driver,是testbench赋予它的含义。没有什么实际的BFM,虚拟的BFM。BFM本来就是行为级转到pin wiggle的一个接口,否则就是design的一部分了,要归到DUT里面去。即使那样,还会有一个driver在那里,作为testbench到dut的桥梁。
发表于 2013-9-5 10:39:33 | 显示全部楼层
driver 用模板
发表于 2014-6-11 15:54:53 | 显示全部楼层
回复 1# masaka_xlw


    此问题是否解决?
我现在也遇到同样的问题,driver和sequencer在UVM父类中定义了一个port/export,难道默认值只能一对一传输?
当一对多时,是不是需要重新定义port,如果是,定义哪种port类型?
希望可以讨论讨论
发表于 2014-11-26 15:27:58 | 显示全部楼层
初学。再看怎么通过一个driver驱动多个sequence
如果只有一个agent,可以定义一个sequence library,然后每定义一个sequence就把它添加到library里面。sequence的执行顺序是可以指定的。这个应该是只要一个sequencer,sequencer和driver之间的连接也和前面的一样。这个UVM1.1的UG上有提到,但是代码不多,特别是不同sequence之间的执行顺序也没讲清楚,我自己也没试。
如果是不同agent,sequence之间要同步,一种可以用全局变量,还有一种是virtual sequence。
以上都是一个driver对应一个sequencer,没看到有说一个driver可以对应多个sequencer
driver和sequencer的连接port是,drv.seq_item_port.connect(sqr.seq_item_export);
应该是这个port决定的
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 17:03 , Processed in 0.032584 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表