在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2315|回复: 2

[求助] 综合碰到的一个error

[复制链接]
发表于 2013-9-4 05:04:01 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 undead11 于 2013-9-4 05:08 编辑

综合碰到了一个error如下。但是error的单引号里是空的,请问我怎么去查error在哪,error是啥呢?我用的RTL compiler,这个错误是发生在最后一步synthesize -to_mapped. verilog code和tcl 附在后面了,求大神帮忙看看。谢谢。

Error   : A required object parameter could not be found. [TUI-61] [get_attribute]

        : An object named '' could not be found.

        : Check to make sure that the object exists and is of the correct type.  The 'what_is' command can be used to determine the type of an object.

Usage: get_attribute  <string> [<object>+]

    <string>:

        attribute name

    [<object>+]:

        object of interest (must be unique)

-------------verilog code------------

module test1

  (

    clk,

    rst,

    x_in,

    y_in,

    x_out

  );

input clk,rst;  

input [15:0] x_in, y_in;

output [15:0] x_out;

reg [15:0] x_out;

wire flag;

assign flag = x_in[15];

always @(posedge clk or negedge rst)

if (~rst)


x_out<='d0;

else


begin


if (flag)


x_out<=x_in+y_in;


else


x_out<=x_in-y_in;


end

endmodule

----------------------------tcl-----------------------------------------------------------------------

set_attribute lib_search_path {/users/bh/class/CPE411/STD/Synopsys}

set_attribute library {/users/bh/class/CPE411/STD/Synopsys/smic13_ff_1p32v_0c.lib}

read_hdl -v2001 {/users/bh/class/CPE411/syn1/source/test1.v}

set target_technology smic13_ff_1p32v_0c

elaborate

check_design

read_sdc -stop_on_errors /users/bh8/class/CPE411/syn1/script/timing.sdc

synthesize -to_mapped

发表于 2013-9-4 10:03:45 | 显示全部楼层
VerlogHDL没有任何问题,你的tcl文本好像有一些问题,在写法上与我见过的不太一样,但是在这方面只是会用说不出问题所在,海涵。
发表于 2013-9-8 20:13:20 | 显示全部楼层
read_hdl -v2001 {/users/bh/class/CPE411/syn1/source/test1.v}
读入的verdilog
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-6-8 09:03 , Processed in 0.028500 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表