在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3330|回复: 2

[求助] (求助)关于modelsim10.0c和debussy联合仿真的问题

[复制链接]
发表于 2013-8-22 16:42:33 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
我用的modelsim是10.0c的win764位版本。按照网上6.5版本modelsim和debussy联合仿真的设置配置好软件环境后。在testbench文件中加入initial
begin
  $fsdbDumpfile("wave.fsdb");
  $fsdbDumpvars;
end
  仿真时显示$fsdbDumpfile和$fsdbDumpvars  not defined.  同时wave.fsdb文件也没有生成。编写批处理文件也没有运行debussy程序。


不知道为什么,是不是版本不同。两者联合仿真的设置方法不同。问题是不是出在两个函数没有定义这个worning上。求前辈解答
发表于 2013-8-22 19:33:45 | 显示全部楼层
我也遇到了这方面的问题,只是和你的有些不一样,你能帮我看一下吗
#     invoked from within
# "set ipxFP [open "$ipDir/SinOut.ipx" w]"
do F:/example/SinOut_add.tcl
# F:/example
# Adding DSP Builder System SinOut to project
#
# ** Error: invalid command name "set_global_assignment"
# Error in macro F:\example\SinOut_add.tcl line 5
# invalid command name "set_global_assignment"
#     while executing
# "set_global_assignment -name "QIP_FILE" [file join $mdldir "SinOut.qip" ]"

我的QQ号是1574906512,请指教啊,我是才开始学
发表于 2013-8-22 23:16:13 | 显示全部楼层




2个步骤:
1. 按照网上说的,复制novas.dll到modelsim安装目录下的win32目录下;
   然后,修改modelsim安装目录下的modelsim.ini:Veriuser = novas.dll

2. 仿真时,在vsim命令中加入:-pli novas.dll

最后,给你个简单的仿真脚本作参考:
vlib work
vlog -work work -timescale 1ns/10ps +incdir+../testcase -f ./verilog.flist
vsim +tr_single -c -pli novas.dll -do "run -all" -onfinish exit work.lab03_top_tb
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-28 15:30 , Processed in 0.052415 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表