在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 7566|回复: 8

[求助] 请问verilog中定义的parameter占用什么资源?

[复制链接]
发表于 2013-8-16 11:28:35 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
弱弱地问个问题,请问verilog中定义的parameter占用什么资源?
查看RTL中直接是一个数字,比方32位的parameter    TEST  32‘h12345678;

是占用32根连线么?分别根据值连接到高低电平么?
 楼主| 发表于 2013-8-21 08:08:15 | 显示全部楼层
没有人知道么??
发表于 2013-8-21 08:34:40 | 显示全部楼层
应该不占用资源吧……VHDL里面说静态表达式不会引入物理电路,verilog应该也是这样的吧,感觉是不是直接对数据端口初始化为高低电平……
发表于 2013-8-21 08:52:24 | 显示全部楼层
parameter是给编译器看的
发表于 2013-8-21 09:23:23 | 显示全部楼层
32根置为固定电平的连线
发表于 2013-8-21 10:18:43 | 显示全部楼层
这个定义就和wire【7:0】 data = 8'hf 一样, 就是楼上说的全都是固定电平的信号线, 占用的可能就是你TIELO、TIEHI资源, 相对来说较少
发表于 2013-8-21 12:28:36 | 显示全部楼层
跟电源盒地相接
发表于 2013-8-21 12:58:44 | 显示全部楼层
应该不会引入电路吧,感觉就是告诉编译器这个数是多少,或者初值是多少
 楼主| 发表于 2013-8-22 08:21:12 | 显示全部楼层
谢谢楼上各位的回复,大概明白了~~~
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-5 06:25 , Processed in 0.031600 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表