在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 7794|回复: 16

[原创] DC综合后net名字有反斜杠怎么处理,求赐教!!!

[复制链接]
发表于 2013-7-31 17:20:27 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
采用DC综合后,出来的网标文件中,cell的例化名前面都有个反斜杠,而且定义的wire名字也有反斜杠,我裁剪了部分网标如下,请各位帮忙看下需要怎么设置能改变这样的信号命名方式

module  AAA(  xxxx , xxxx , xxxx);
input   xxxxxx;
output xxxx;

wire \add_x_1/net1,\add_x_1/net2,\add_x_1/net3,\add_x_1/net4,\add_x_1/net5,
        net6,net7
HDR_XNOR2_2 \add_x_1/U20  ( .A1(\add_x_1/net1), .A2(\add_x_1/net2),.A3(\add_x_1/net3),.ZN(\add_x_1/net4) );

网表大致是上面的情况,当网表很大的时候信号名前面都有个add_x_1查找信号不方便
上面这儿cell应该是加法器中的一个器件,
请各位指点下,怎么能让cell的名字没有add_x_1的那部分,而只有U20,wire的名字只有net6  net7这样的,需要在dc_setup如何设置,
跪谢!!!!在线等回复
发表于 2013-7-31 19:22:12 | 显示全部楼层
本帖最后由 zfx253 于 2013-7-31 19:23 编辑

ding yi xia
发表于 2013-7-31 20:39:19 | 显示全部楼层
dc_setup不会   但是不知道用TCL写个脚本重排下行不行,还请楼下大牛也帮忙解答下
发表于 2013-7-31 21:59:32 | 显示全部楼层
change_name -hier -rule verilog

compile之后,写出netlist之前,加上上面这句~~
发表于 2013-8-1 09:41:40 | 显示全部楼层
楼上正解
 楼主| 发表于 2013-8-6 14:11:56 | 显示全部楼层
感谢四楼的回答,加上change_name之后反斜线的问题是解决了

不过现在网表还有一些感觉不太合规则的,比如:一个bits的寄存器,网表中表示如下:
HDR_SDRN n_shift_reg_1_ ( .d() .se(),。。。    );
HDR_SDRN n_shift_reg_2_ ( .d() .se(),。。。    );
。。。。。。。
怎么能够变成
HDR_SDRN n_shift_reg[1] ( .d() .se(),。。。    );
HDR_SDRN n_shift_reg[2] ( .d() .se(),。。。    );
。。。。。。
感觉用方括号括住数字的比较好,
我用define_name_rules -net(cell  port) "a-zA-Z0-9_a-zA-Z0-9"
而且set_naming_style {%s[%d]}也不能达到目的,请问还需要设置什么吗????????
发表于 2013-8-6 17:18:07 | 显示全部楼层
name rule 来做
发表于 2014-1-12 14:06:03 | 显示全部楼层
加上:
change_name -hier -rule verilog
cell 斜线去掉了,不过还是有几根信号中有斜线?那位知道解决方式,帮帮忙啊 !谢谢!
发表于 2022-7-12 15:40:11 | 显示全部楼层


ocean_raft 发表于 2014-1-12 14:06
加上:
change_name -hier -rule verilog
cell 斜线去掉了,不过还是有几根信号中有斜线?那位知道解决方式 ...


请问你解决了吗?我也是大部分都通过change rule 修改了 但是还是剩下几根线改不了 不知道为什么

发表于 2023-6-12 11:34:57 | 显示全部楼层


Lotusy 发表于 2022-7-12 15:40
请问你解决了吗?我也是大部分都通过change rule 修改了 但是还是剩下几根线改不了 不知道为什么

...


我也是有这个问题,请问有人有解决方案了嘛
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-5 13:11 , Processed in 0.040120 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表