在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 7050|回复: 14

[求助] 有没有人用FPGA实现过堆排序或者快速排序啊!!??

[复制链接]
发表于 2013-7-19 10:20:55 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
我现在要做2048点的数据排序,请问用什么排序方法比较适合FPGA啊?
发表于 2013-7-19 10:39:51 | 显示全部楼层
搞一个大一点的RAM不就可以实现了么?估计你这2048点的数据输入进去,同时肯定就能输出排序完成的结果了,这个应该不难的,就是一比较。
 楼主| 发表于 2013-7-19 10:47:26 | 显示全部楼层
回复 2# tangkuan660


   我考虑过用一个RAM来做,但是没次都要改变地址来读出上一个节点的数来比较。而且没次读出一个数有两个周期的延时,每插入一个节点比较次数不同,时序比较难控制。如果用一个reg [15:0] men[2047:0] 的数字来做是不是要方便点啊?
发表于 2013-7-19 10:57:20 | 显示全部楼层
回复 3# lyc_abc

资源消耗太大了
 楼主| 发表于 2013-7-19 11:10:02 | 显示全部楼层
回复 4# gygyg


    那我只有在时序控制上再看看了,RAM每次读一个数要等2个周期比较烦,不是连续读数就不好弄了......
发表于 2013-7-19 12:49:51 | 显示全部楼层
用RAM,sdram等等就是对写入读出地址进行控制,只是FPGA中RAM资源有限,不适合做大数据的缓存,但是如果你自己写控制sdram的逻辑,就稍微负载一点。
如果用ip核可能好一点,看具体fpga型号了。
发表于 2013-7-19 15:15:24 | 显示全部楼层
如果那2048个数据点每个值都不一样,而且取值范围不是很大的话,比如就是1,2,3,..,2048或是1001,1002,1003,...,3048,那只需一个映射模块,将数据值映射RAM地址值,这样一个数据进来,直接就写入RAM,无须再读RAM作比较。
 楼主| 发表于 2013-7-19 15:51:49 | 显示全部楼层
回复 6# wide_road

     我用的是Cyclone V FPGA,资源肯定是够用了,用RAM来缓存是可以。只是感觉地址线控制比较复杂,地址不断变化,想问问大家有没有什么好点的建议。所以想用数组来做,不过似乎比较耗LE。
 楼主| 发表于 2013-7-19 15:55:21 | 显示全部楼层
回复 7# starsoi


   肯定是无规律的有符号数排序啊,如果输入有规律,也不需要这么麻烦了、、、、
发表于 2013-7-21 00:11:23 | 显示全部楼层
回复 1# lyc_abc


   可以用一个小软核配合FPGA排序,所需要的资源不一定比直接硬件实现多
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-7 01:21 , Processed in 0.038234 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表