在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 12342|回复: 2

DC综合如何修复high fanout

[复制链接]
发表于 2013-7-15 12:51:04 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
请教各位一个问题:
DC综合时怎样才能修复除了clock、reset以外信号的high——fanout,或者fix high fanout?

     我在综合的时候已经设置了max_fanout、max_capacitance、max_transition、set idea network  【clk、 reset】等, 比如设置了max_fanout为20,但是report某个信号high_fanout 位500,出现这种情况该怎么处理?
           我之前好像看到过在Dc中fix high_fanout的命令,现在网络,而且设计中出现了类似的问题
           在encounter中可以使用optDesign -drv进行优化,但是 不一定能满足,所以需要在dc综合的时候就fix某些信号的fanout。
              感觉: hig_fanout_threshold、high_fanout_net_pin_capacitance不是干这活的命令,另外论坛里发的high_fanout synthesis好像只是把clock、reset等信号set ideal,并没有fix high fanout?
发表于 2013-7-15 13:28:14 | 显示全部楼层
First it's necessary to understand how a net is classified as a high fanout net.
When the fanout of a net exceeds the limit set by the high_fanout_net_threshold
variable, the net is marked as high fanout. Note that in this case, fanout
refers to the actual number of connections to the load pins of the net and not
the fanout load, which is classically used in the set_max_fanout constraint.
The number of connections of a net can be obtained from the report_net command.

High fanout nets are not ideal or dont_touch by default.

Classification of a net as high fanout affects only the way in which total
pin capacitance is computed on the net. The pin capacitance on a high fanout
net is calculated using the threshold value and the value of the
high_fanout_net_pin_capacitance variable. This pin capacitance value is
then used for other purposes, such as evaluating max_capacitance violations
and net loading.

By default, Design Compiler fixes violations of DRC constraints such as
max_capacitance, max_fanout, and max_transition even on high fanout nets.
Note that even if a net is classified as high fanout, it can still be free
of max_fanout violations; similarly, a net can have max_fanout violations and
not be a high fanout net. This is so because the max_fanout constraint is
concerned with the sum of the fanout load of the load pins and not the actual
connections.

After max_fanout and max_capacitance violations have been fixed through
buffering, a net might no longer be a high fanout net.

A constant net or a clock net might also be (and most likely will be) a high
fanout net, but such nets are also marked "dr," in which case no DRC fixing
will be performed on them. On nets that are not marked "dr," it is best to
apply both set_dont_touch and set_ideal_net commands so that no optimization
is performed, thus saving runtime.
发表于 2022-10-25 11:06:44 | 显示全部楼层
楼主,这个问题解了吗?我也遇到这情况
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-17 15:02 , Processed in 0.018116 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表