|
马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。
您需要 登录 才可以下载或查看,没有账号?注册
x
系统提示我红字部分出错,到底哪错了,怎么改???
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_signed.all;
use ieee.std_logic_arith.all;
entity sequence is
port(clk:in std_logic;
z ut std_logic);
end sequence;
architecture behavior of sequence is
type state_type is (s0,s1,s3,s4,s5,s6);
signal current_state,next_state:state_type;
begin
synch:progross
begin
wait until clk'event and clk='1';
current_state<=next_state;
end process;
state_trans:process(current_state)
begin
case current_state is
when s0=>
next_state<=s1;
z<='0';
when s1=>
next_state<=s2;
z<='1';
when s2=>
next_state<=s3;
z<='0';
when s3=>
next_state<=s4;
z<='0';
when s4=>
next_state<=s5;
z<='1';
when s5=>
next_state<=s6;
z<='1';
when s6=>
next_state<=s0;
z<='1';
end case;
end process;
end behavior; |
|