在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1636|回复: 4

[求助] 菜鸟求助 vhdl编写哪里出了错呀???

[复制链接]
发表于 2013-6-10 16:38:11 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
系统提示我红字部分出错,到底哪错了,怎么改???
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_signed.all;
use ieee.std_logic_arith.all;
entity sequence is
  port(clk:in std_logic;
         zut std_logic);
end sequence;
  
  
architecture behavior of sequence is
  type state_type is (s0,s1,s3,s4,s5,s6);
  signal current_state,next_state:state_type;

begin
synch:progross
begin
  wait until clk'event and clk='1';
  current_state<=next_state;
end process;


state_trans:process(current_state)
begin
case current_state is
when s0=>
     next_state<=s1;
     z<='0';
when s1=>
     next_state<=s2;
     z<='1';  
when s2=>
     next_state<=s3;
     z<='0';     
when s3=>
     next_state<=s4;
     z<='0';  
when s4=>
     next_state<=s5;
     z<='1';
when s5=>
     next_state<=s6;
     z<='1';
when s6=>
     next_state<=s0;
     z<='1';
end case;
end process;
end behavior;
发表于 2013-6-10 18:04:44 | 显示全部楼层
已经很久没有使用VHDL了,不过这个语句能够综合吗?wait until clk'event and clk='1';不是需要写到process里面然后敏感列表里写上 clk'event and clk='1'这个吗?
发表于 2013-6-11 11:57:30 | 显示全部楼层
我记得边沿有另外一种表达啊
发表于 2013-6-11 18:19:54 | 显示全部楼层
:):):):):):):):):):):):):)
发表于 2013-6-13 09:30:37 | 显示全部楼层
if clk’even t and clk= ‘1‘then
………………
end if;
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-28 19:25 , Processed in 0.086837 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表