在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 4030|回复: 5

[求助] xilinx fir ip core 位数问题

[复制链接]
发表于 2013-5-15 09:28:29 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
请教各位,在xilinx fir ip核中,最终输出的位数是有什么决定的,为什么我输入数据是有符号的16位,系数也是有符号的16位,但是fir阶数不同,输出结果有时候是32位,有时候是33位甚至更多呢?
发表于 2013-9-23 16:21:31 | 显示全部楼层
同问,求解答啊
发表于 2013-9-24 08:41:41 | 显示全部楼层
额,这个,没有关系,你想多少位截低位就是了。不会有问题的。
发表于 2013-9-24 09:13:10 | 显示全部楼层
回复 3# chen851112


   你好,问一下,比如要实现希尔伯特滤波器,输出的幅度与输入也不一样,该怎么处理啊?简单点说就是,用滤波器IP核后对其输出该怎么处理,除了截断,还有什么,谢谢了
发表于 2013-9-24 11:04:15 | 显示全部楼层
回复 4# zbtian
如果是自己写的那就好好考虑,该咋处理跟你设计有关。但是如果是给出的核,那就截,不一样就不一样,就是一个系数关系。
发表于 2018-12-19 08:23:35 | 显示全部楼层
学习中。。。。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-8 19:58 , Processed in 0.028197 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表