马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。
您需要 登录 才可以下载或查看,没有账号?注册
x
如图一个时钟网络:如何创建时钟才能够让pr正确分析设计中的路径; 如下设计有什么问题: create_clock –name global_clk –period 10 create_generated_clock –name clk1 [get_pinsdiv2/z] –source [get_ports global_clk] –divide_by 2 create_generated_clock –name clk1 [get_pinsdiv4/z] –source [get_ports global_clk] –divide_by 4 set_clock_groups –logically_exclusive-group [get_clocks clk1] –group [get_clocks clk2] \ –group [get_clocks global_clk]
create_generated_clock –name clk5_1 [get_pinsmux1/z] \ –source [get_ports global_clk] –combinational create_generated_clock –name clk5_2 [get_pinsmux1/z] \ –master_clock clk1 –combinational -add create_generated_clock –name clk5_3 [get_pinsmux1/z] \ –master_clock clk2 –combinational -add
set_clock_groups –physically_exclusive-group [get_clocks clk5_1] –group [get_clocks clk5_2] \ –group [get_clocks clk5_3]
create_generated_clock –name clk4 [get_pinsdiv8/z] \ –source [get_pins mux1/z] –divide_by 8 create_generated_clock –name clk3 [get_pinsdiv16/z] \ –source [get_pins mux1/z] –divide_by 16
set_clock_groups –logically_exclusive-group [get_clocks clk4] \ –group [get_clocks clk3] –group[get_clocks clk5]
Encounter工具在进行逻辑B中时序检查的时候会出现clk1与clk4两个时钟之间的检查由于这两个时钟在逻辑B中同一时刻只能有一个时钟进入到逻辑B,所以在报告的clk1与clk4之间的检查是错误的检查方式,请问怎么消除这种情况?如果我将clk1与clk4设置成false路径,那实际存在数据交互的逻辑a和逻辑b之间的时序检查也被取消了。所以请有类似经验的帮忙回答一下。 |