在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3634|回复: 4

[求助] Error:$width( posedge CK:3350 ps, :3400 ps, 330 ps );

[复制链接]
发表于 2013-3-26 01:20:20 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
请问各位大牛,我是做post-sim的时候modelsim报如下的错误,该如何解决啊,先谢谢:

Time: 3400 ps  Iteration: 1  Instance: /test_did/u_did/fdid/\i_reg[11]\
# ** Error: C:/Modeltech_6.2e/examples/did/smic18.v(5260): $width( posedge CK:3350 ps, :3400 ps, 330 ps );


查找仿真库smic18.v里的行为提示:
`timescale 1ns/10ps
`celldefine
module FFDQhdlX (CK, D, Q);
input  CK ;
input  D ;
output Q ;
reg NOTIFIER;
        ip_ffsdsr _i0 (Q, dly_D, dly_CK, 1'b1, 1'b1, 1'b0, 1'b0, NOTIFIER);
   specify
     // path delays
     (CK *> Q) = (0, 0);
     $setuphold(posedge CK, negedge D, 0, 0, NOTIFIER, , , dly_CK, dly_D);
     $setuphold(posedge CK, posedge D, 0, 0, NOTIFIER, , , dly_CK, dly_D);
    $width(posedge CK, 0, 0, NOTIFIER);
     $width(negedge CK, 0, 0, NOTIFIER);
   endspecify
endmodule

请大家给与指点!谢谢了~~
发表于 2013-3-26 09:14:18 | 显示全部楼层
回复 1# 最酒店


    min pulse width违例了,你时钟周期多少?时钟线上都用上时钟buffer/inverter了吗?
 楼主| 发表于 2013-3-29 09:35:10 | 显示全部楼层
本帖最后由 最酒店 于 2013-3-29 10:45 编辑

回复 2# sjtusonic


   
你好,这个问题我们当时就做了,我在DC时把时钟从10ns提升到15ns,综合出来的timing slack 都差不多达到了+3,可是后仿真时还是报出了$width这种错误,我现在在改程序,请问如何检测时钟buffer?
发表于 2015-12-10 21:07:51 | 显示全部楼层
我也遇到了这个问题
发表于 2016-5-17 09:36:09 | 显示全部楼层
请问楼主解决这个问题没有?我也出现了相同的问题
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-7 07:10 , Processed in 0.029662 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表