在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 7783|回复: 4

[求助] xilinx ISE translate 报错,找不到解决途径,特来求大神指点

[复制链接]
发表于 2013-3-14 22:43:34 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
我使用的是mc8051的ip核,然后用ise生成rom和ram,编译通过,然后translate报错,错误如下



NgdBuild:76 - File "E:\project\AISC8051\asic8051/ramx.ngc" cannot be merged into block "i_mc8051_ramx" (TYPE="ramx") because one or more pins on the block, including pin "wea", were not found in the file.  Please make sure that all pins on the instantiated component match pins in the lower-level design block (irrespective of case).  If there are bussed pins on this block, make sure that the upper-level and lower-level netlists use the same bus-naming convention.



NgdBuild:604 - logical block 'i_mc8051_ram' with type 'ram' could not be resolved. A pin name misspelling can cause this, a missing edif or ngc file, case mismatch between the block name and the edif or ngc file name, or the misspelling of a type name. Symbol 'ram' is not supported in target 'virtex4'.
发表于 2013-3-15 04:38:41 | 显示全部楼层
产生ram NGC的时候选错器件类型了吧?
 楼主| 发表于 2013-3-15 10:09:31 | 显示全部楼层
回复 2# tigerjade

我不是特别理解,你能不能说的仔细一些,谢谢啦~
发表于 2013-3-15 12:24:28 | 显示全部楼层
你ISE使用的版本,选用的器件型号,比如S6 45等参数,和你COREGEN生成使用的版本,里面使用的器件参数等最好一致。
发表于 2013-3-20 13:19:17 | 显示全部楼层
no money
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-13 12:26 , Processed in 0.024715 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表