在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 6203|回复: 3

[求助]Silvaco软件仿真提取参数vt后怎么用Tonyplot显示出来!

[复制链接]
发表于 2013-3-1 20:29:44 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
求助各位大侠,小弟现在要用Silvaco仿真一个PMOS,提取它的vt参数,然后想做一条Vt随时间的变化曲线。请问提取Vt参数后怎么怎么用Tonyplot显示。先谢过!
发表于 2013-3-3 20:02:44 | 显示全部楼层
开启电压随时间变化?好像不行吧。我只会提取VT
发表于 2013-4-7 15:30:40 | 显示全部楼层



Atlas中,你可以做 AC, TRANSIENT 分析,但是我没有直接的例子。这是手册的一段。希望你看得懂。
Transient Simulation Example
The following sequence is an example of a time dependent solution. The METHOD statement specifies second-order discretization, automatic time-step selection, and an automated Newton-Richardson procedure.
The first SOLVE statement then computes the solution for a device with 1V on the base electrode and 2V on the collector in steady-state. The second SOLVE statement specifies that the base electrode is to be ramped to 2V over a period of 10 ns and is left on until 25 ns. Each solution is written to a file. The name of the file is incremented in a manner similar to that described for a DC simulation (UP1, UP2, and so on). Note that an initial time step had to be specified in this statement.
The third SOLVE statement ramps ther base down from 2V to - 0.5V in 20 ns (end of ramp is at =45 ns). The device is then solved at this bias for another 55 ns (out to 100 ns). Each solution is again saved in a separate file (DOWN1, DOWN2, and so on).
No initial timestep was required since one had been estimated from the last transient solution from the previous SOLVE statement.
Finally, the fourth SOLVE statement performs the steady-state solution at Vbe = -0.5V and Vce = 2V.
METHOD 2ND TAUTO AUTONR
SOLVE Vbase=1 Vcollector=2
SOLVE Vbase=2 DT=1E-12 TSTOP=25E-9 RAMPTIME=10E-9 OUTF=UP1
SOLVE Vbase= –0.5 TSTOP=100E-9 RAMPTIME=20E-9 OUTF=DOWN1
SOLVE Vbase= –0.5 Vcollector=2
发表于 2014-10-12 14:33:36 | 显示全部楼层
这个软件也需要编程吗,可以图形操作吗
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-12 23:19 , Processed in 0.032712 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表