在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
芯片精品文章合集(500篇!)    创芯人才网--重磅上线啦!
查看: 2809|回复: 0

[招聘] 美资IC设计公司招聘Sr. ASIC design engineer

[复制链接]
发表于 2013-2-21 11:13:22 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
老牌美资IC设计公司 曾经开发了世界上第一款商用集成电路  有兴趣的发邮件或加MSN:cms020619@yahoo.com.cn

Job Title:  Senior asic digital design engineer (北京)

Responsibility  
•       In charge of digital design and verification in Mix-signal chip
•       Take part in all ASIC design flow including Coding, Verification, Synthesis, STA, Place and Route
•       Perform functional verification of designs on block and AMS level.
•       Perform pre- and post-layout timing closure
•       Perform physical design including floor planning, timing closure, place&route, physical verification etc.
•       Develop and improve design quality like area, power, timing and ATPG coverage
Requirement  
•       MS Degree, 5+ years experience in digital ASIC design and verification
•       Experience in ASIC design flow (logic synthesis, STA, formality check, P&R, Design for Test), familiar with the usage of related EDA tools
•       Experience with design for verification (assertion based design strategies, code coverage, functional coverage, test plan, gate-level simulation, back-annotation etc.). Mixed-signal verification background would be an added advantage.
•       Strong understanding of synthesis flow
•       Strong STA skills
•       Hands on experience with formal verification tools such as LEC and/or formality
•       Familiar with Back-End EDA tools (synopsys,cadence,magma)
•       Familiar with Linux Environment
•       Ability to build new EDA-methodology-flow using perl, tcl and shell programming would be an added advantage
•       Good communication skills, strong interpersonal skills and the flexibility Dedicated, hard working and good team player
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-21 17:50 , Processed in 0.015385 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表