在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 11676|回复: 5

[求助] verilog 负数表示

[复制链接]
发表于 2013-1-9 16:04:04 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
请问在verilog 中用singed 表示有符号数时,reg signed [3:0] a;
表示的是a的范围是+-15 还是+-7??

多谢
发表于 2013-1-9 16:14:35 | 显示全部楼层
固定一个符号位。
然后剩下的表示数值。
所以你的例子是:[-8, 7], 包含-8,7。
 楼主| 发表于 2013-1-9 17:07:49 | 显示全部楼层
回复 2# asic_service


   明白 谢谢
发表于 2013-1-9 17:15:37 | 显示全部楼层
回复 3# zhaow0422


    将有符号数理解为二进制补码,就知道它的表达范围了。
发表于 2013-1-26 11:07:37 | 显示全部楼层
了解了解
发表于 2014-3-11 18:21:11 | 显示全部楼层
-8 - 7
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 02:26 , Processed in 0.028755 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表