在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 4407|回复: 3

[求助] modelsim仿真时module不在test下面,如何解决?

[复制链接]
发表于 2012-12-27 10:14:39 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
各位达人,我用modelsim仿真时,module文件为divclk3.v,测试文件为tb_divclk3.v。
在project里面,正常显示如下图:
project.JPG

当我仿真的时候,却出现奇怪的事情,那就是tb_divclk3并不包含divclk模块,真是郁闷啊。如下图所示。
sim.JPG

有人知道怎么解决这个问题么,若知道就高速我,让我好好努力学习啊。真是谢谢。
后面附上这两个文件的源程序。
divclk3.v文件如下:



  1. `timescale 1ns/1ns
  2. `define DLY 0
  3. `define DLY1 0
  4. `define DLY2 0
  5. module divclk3(
  6. clk_in,
  7. rst_in,
  8. clk_out
  9. );
  10. input clk_in;
  11. input rst_in;
  12. output clk_out;
  13. reg clk_out;

  14. reg clk_n;
  15. reg clk_not;
  16. reg d1;
  17. reg d2;
  18. reg clk_s;

  19. always @(posedge clk_in or negedge rst_in)begin
  20. if(~rst_in)
  21. d1 <= #`DLY 1'b0;
  22. else
  23. d1 <= #`DLY clk_not;
  24. end

  25. always @(posedge clk_n or negedge rst_in)begin
  26. if(~rst_in)
  27. d2 <= #`DLY 1'b0;
  28. else
  29. d2 <= #`DLY d1;
  30. end

  31. always @(posedge clk_s or negedge rst_in)begin
  32. if(~rst_in)
  33. clk_out <= #`DLY 1'b0;
  34. else
  35. clk_out <= #`DLY d2;
  36. end

  37. always @(clk_out)
  38. clk_not <= #`DLY1 ~clk_out;

  39. always @(clk_in)
  40. clk_n <= #`DLY1 ~clk_in;

  41. always @(clk_out or clk_in or clk_n)begin
  42. if(clk_out)
  43. clk_s <= #`DLY2 clk_in;
  44. else
  45. clk_s <= #`DLY2 clk_n;
  46. end

  47. endmodule




复制代码


tb_divclk3.v文件如下:




  1. `timescale 1ns/1ns
  2. `define DLY 0
  3. module tb_divclk3;

  4. reg clk_in;
  5. reg rst_in;
  6. wire clk_out;

  7. divclk3 u_divclk3(
  8. .clk_in(clk_in),
  9. .rst_in(rst_in),
  10. .clk_out(clk_out)
  11. );

  12. always #100 clk_in <= ~clk_in;

  13. initial begin
  14. rst_in=1;
  15. clk_in=1;
  16. #200;
  17. rst_in=0;
  18. #200;
  19. rst_in=1;
  20. end

  21. initial begin
  22. $dumpfile("wave.dump");
  23. $dumpvars(0,tb_divclk3);
  24. #100000;
  25. $stop;
  26. end

  27. endmodule



复制代码
发表于 2012-12-27 22:32:40 | 显示全部楼层
你实现的是三分频吧,三分频没有这么实现的啊。你可以看看这篇文章http://blog.sina.com.cn/s/blog_6f3d37ff0100umaf.html
如果非要解决你modelsim没有模块的问题,可以在进行simulate的时候,去掉优化,就可以了。
QQ截图20121227223018.jpg


QQ截图20121227223112.jpg
 楼主| 发表于 2012-12-29 13:33:47 | 显示全部楼层




    非常感谢你,你解决了困扰我多天的问题,让我学习再上台阶。
    你是资深的电子专家,我要好好向你学习。
发表于 2012-12-30 19:41:30 | 显示全部楼层
嗯,原来去掉优化这么有用!以前只是知道一定要去掉,还不清楚为什么。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-11-16 17:52 , Processed in 0.029753 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表