在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2671|回复: 3

[求助] 倍频器

[复制链接]
发表于 2012-10-29 10:52:17 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
请问高手们,我现在需要用verilog写一个10倍的倍频器,不知道如何着手,之前只写过分频器的。请教了!!谢啦
发表于 2012-10-29 14:22:49 | 显示全部楼层
倍频一般使用pll;如果一定要用verilog写的话,参考全数字锁相环
发表于 2012-10-29 17:14:15 | 显示全部楼层
其实所谓的数字倍频 也 只能 是一种假 倍频
 楼主| 发表于 2012-11-1 10:14:20 | 显示全部楼层
回复 3# eagle654321


    请问有什么好的思路么?用verilog实现数字倍频,
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-10 04:45 , Processed in 0.018132 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表