在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3497|回复: 9

[讨论] questasim 6.4c 下使用vmm的傻瓜办法

[复制链接]
发表于 2012-10-28 15:30:07 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
小女目前在学vmm,尝试了questasim和vcs,一直为入门很困扰。使用的库是vmm-1.1.1a.

我使用questasim时遇到的问题是按教程设置了vmm_home等参数后,编译仍然存在“can't include vmm.svh”的问题,今天发现是路径问题,但不知为何+incdir指令报错,于是没办法将project建立在/vmm-1.1.1a/sv 下,和vmm.svh等需要include的文件放在了同级path下,再编译就通过了。

这实在也是个折衷的办法,最近急于学会vmm找工求职,在入门问题上折腾了太久,也算是个下策吧,不管怎样先入门,细节问题在以后的学习过程种再慢慢摸索吧。

听说现在做vmm,ovm都是用vcs,我在vcs上也折腾了很久,不过不知道是不是实验室vcs安装的有问题,编译时一直提示缺少文件,google了半天也没找到答案。现在既然questasim暂时能编译,决定先用questasim入门再说。
 楼主| 发表于 2012-10-29 09:30:03 | 显示全部楼层
今天研究明白了vcs下如何使用vmm,原则是类似的,也是找到vmm.sv文件,然后将vcs的启动文件放到同一路径下,这样就不用烦恼vmm_home等设置的问题了。
发表于 2013-10-24 13:19:41 | 显示全部楼层
..................................
发表于 2013-10-25 10:58:00 | 显示全部楼层
questasim到底是个啥东东 没用过啊
发表于 2013-11-20 21:13:30 | 显示全部楼层
发表于 2014-2-27 20:08:34 | 显示全部楼层
看看,学习学习
发表于 2014-11-24 20:35:57 | 显示全部楼层
谢谢楼主分享
发表于 2014-12-17 17:28:36 | 显示全部楼层
zanyige
发表于 2014-12-17 20:29:06 | 显示全部楼层
沙    发
发表于 2014-12-23 15:37:07 | 显示全部楼层
??????没看懂
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-27 22:28 , Processed in 0.039290 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表