在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 6057|回复: 7

[讨论] 在verilog程序中可以调用VHDL写的模块么?

[复制链接]
发表于 2012-10-20 12:14:39 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
有一个模块是用Vhdl语言写的,这个模块可以在verilog中使用么?
如果可以使用的话,需要加哪些东西?还是说直接例化就可以了?
发表于 2012-10-20 12:50:01 | 显示全部楼层
verilog 直接用就可以,但是 VHDL 要用verilog 必須宣告元件.
发表于 2012-10-20 13:33:25 | 显示全部楼层
取决于编译器,不过,现在的大部分编译器应当都支持混合语言了。
 楼主| 发表于 2012-10-20 13:40:18 | 显示全部楼层




    我用的是ISE与modelsim联合仿真,主程序verilog语句中用到XilinxCoreLib库中的一个用VHDL编写的模块,在Xilinx中综合没有问题,但到modelsim中进行行为仿真就不行了,总是说找不到那个元件。
    我在modelsim中已经编译了Xilinx的VHDL库了,并且已经能在modelsim中看到那个元件了。
发表于 2012-10-20 20:47:33 | 显示全部楼层
我见过的混跑是用vcs-mx,要先分别编译verilog和vhdl部分,然后再跑。
modelsim不知道该怎么设置
 楼主| 发表于 2012-10-20 23:04:14 | 显示全部楼层


我见过的混跑是用vcs-mx,要先分别编译verilog和vhdl部分,然后再跑。
modelsim不知道该怎么设置
orlye 发表于 2012-10-20 20:47




    哦啦,谢谢!
发表于 2013-11-27 20:57:52 | 显示全部楼层
我是ISE不知道能不能用,就是VHDL中能不能用verilog语句
发表于 2014-11-27 10:25:17 | 显示全部楼层


有一个模块是用VHDL语言写的,这个模块可以在verilog中使用么?
如果可以使用的话,需要加哪些东西?还是说 ...
kekeguda 发表于 2012-10-20 12:14




   LZ,你的问题解决没?我也遇到了用verilog调用vhdl模块时,生成的波形里找不到vhdl模块的信号,导致无法查看波形,期间还存在参数传递的问题,请求大神帮忙啊!
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-27 19:13 , Processed in 0.035821 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表