在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 7875|回复: 4

[求助] modelsim做后仿真 时间单位不一致,仿真结果不一致,为什么

[复制链接]
发表于 2012-10-19 09:36:30 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
`timescale 1ps / 1ps  
`timescale 1ns / 1ps
使用altera器件,用于pll的仿真。
当仿真时间设置为1ns时,pll正常工作,但是当仿真时间设置为1ps时,pll不能正常工作,但是其locked信号正常输出,为什么?
使用的altea公司的cycloneIV系列器件。
哪位仁兄遇到过这样的显像?
发表于 2012-10-19 09:52:51 | 显示全部楼层
首先你要知道这个1ns/1ps是什么意思啊!不是随意写的啊!
 楼主| 发表于 2012-10-26 15:36:54 | 显示全部楼层
这个直到,时间不长及时间精度么。
发表于 2012-10-26 16:58:12 | 显示全部楼层
我的理解是1ps对逻辑要求太高了,你每步1ps,很可能上面没执行完,就要求下面跑,硬件跟不上,所以1ps肯定很难正确。
发表于 2012-10-26 17:27:59 | 显示全部楼层
对时钟约束太高了,建议把时间单位改小些
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-29 00:22 , Processed in 0.035556 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表