在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 9388|回复: 6

[讨论] DC综合的hold time违例问题。。。请教!

[复制链接]
发表于 2012-9-28 09:56:18 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
大家好!我在很多资料上看到,DC逻辑综合时主要是考虑setup time,保证setup time没有违例,并尽量留一些余量;而对hold time一般不怎么关注。但,我现在遇到一种情况,我的setup time很正常,而且余量也比较大些,可我的hold time 违例比较严重:例如周期为40,而Worst Hold Violation:19,这样不知道是不是也不用管呢?在布局布线时能不能修复好?
发表于 2012-9-28 10:03:25 | 显示全部楼层
可以的,但是要注意一下setup 余量和hold violation  大小关系
而且,你的hold violation为什么这么大
发表于 2012-9-28 10:12:10 | 显示全部楼层
19ns的Worst Hold Violation?怎么可能。。。。。
发表于 2012-9-28 10:19:46 | 显示全部楼层
多半是timing exception没有设好
 楼主| 发表于 2012-9-28 11:43:14 | 显示全部楼层
我的这个设计是有三个异步外部时钟,周期一个是为20,另外两个是40,约束设置中三个时钟间也设置了false_path,可结果中,周期为20的没有出现任何违例,而且setup 余量为6.37,另外两个setup 余量为6.77,Worst Hold Violation为19~~~,怎么修改,希望大家给于指点,不胜感激~~
发表于 2012-10-4 20:31:51 | 显示全部楼层
这种一般都是有上升沿和下降沿检测产生的
发表于 2012-10-5 00:05:30 | 显示全部楼层
report_timing -delay_type min,看一看这个19ns的路径是怎么回事?会不会是你的复位信号的设置等
估计应该是不没有设置有exception timing path
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-4 23:55 , Processed in 0.031314 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表