在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 12063|回复: 12

[求助] VCS+verdi pli.a的文件报错,请各位大侠帮忙看下

[复制链接]
发表于 2012-9-23 11:34:36 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
因为要DUMP波形,对VCS增加了如下参数
    -P /usr/verdi/share/PLI/VCS/LINUX/pli.a                   \
       /usr/verdi/share/PLI/VCS/LINUX/verdi.tab

报下面的error
Error-[PLI_TAB_SYNE] Syntax error in pli tab file
  Syntax error on line '1' of pli table file
  '/usr/verdi/share/PLI/VCS/LINUX/pli.a'.
  Please fix this error and continue.

VCS运行脚本如下:
vcs                                                           \
    +define+DATA+RECORD                \
    -R -PP -Mupdate            \
    +v2k -sverilog -ntb_opts dtm                              \
    -f ./filelist.f                                           \
    -P /usr/verdi/share/PLI/VCS/LINUX/pli.a                   \
       /usr/verdi/share/PLI/VCS/LINUX/verdi.tab


请各位大侠帮忙看看,谢谢!
发表于 2012-9-23 18:36:22 | 显示全部楼层
设置好环境变量,直接加  -fsdb或者-fsdb_old 就可以了 ,不用那么麻烦的 的加 -P .......
 楼主| 发表于 2012-9-23 20:08:21 | 显示全部楼层
发表于 2013-5-12 23:32:54 | 显示全部楼层
Hi, it is working with -fsdb_all, thanks
发表于 2013-6-3 20:46:00 | 显示全部楼层
这些问题还是留给大侠去搞定!
发表于 2014-2-17 20:31:34 | 显示全部楼层
学习学习~
发表于 2014-11-19 10:11:35 | 显示全部楼层
-fsdb_old,not -fsdb_all
发表于 2014-12-29 23:03:17 | 显示全部楼层
vcs                                                           \
    +define+DATA+RECORD                \
    -R -PP -Mupdate            \
    +v2k -sverilog -ntb_opts dtm                              \
    -f ./filelist.f                                           \
    -P /usr/verdi/share/PLI/VCS/LINUX/verdi.tab                   \
       /usr/verdi/share/PLI/VCS/LINUX/pli.a
verdi.tab  和 pli.a 的顺序反了
发表于 2020-2-19 18:37:06 | 显示全部楼层
    -P /usr/verdi/share/PLI/VCS/LINUX/pli.a                   \
       /usr/verdi/share/PLI/VCS/LINUX/verdi.tab

这两句话确实是完全不需要的,直接加环境变量设置VERDI的路径就可以了

export VERDI_HOME=/tools63/tools/synopsys/Verdi3_L-2016.06-1
export NOVAS_HOME=/tools63/tools/synopsys/Verdi3_L-2016.06-1
  
export LD_LIBRARY_PATH=${NOVAS_HOME}/share/PLI/lib/LINUX64LD_LIBRARY_PATH
export PATH=${NOVAS_HOME}/binPATH
发表于 2020-2-19 18:39:13 | 显示全部楼层


chentb1990 发表于 2020-2-19 18:37
-P /usr/verdi/share/PLI/VCS/LINUX/pli.a                   \
       /usr/verdi/share/PLI/VCS/LINU ...


这俩表情应该是
: $
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-18 01:46 , Processed in 0.034462 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表