在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
12
返回列表 发新帖
楼主: baobao697841

[讨论] ddr读写问题

[复制链接]
发表于 2012-9-18 12:56:59 | 显示全部楼层
其实是可以用的,一是你可以控制前端读写的时候,不要使用BURST模式,或者BURST长底设为4,不设为8.
二是就算你设为8,照常使用就行,比如一个初地址,然后8个长底写出去或读请求发出去了,在DDR的连续上面只用传输初地址本身即可,真实的8个长度的数据是可以被写进去或读出来的,当然你可能也用不着这么多数据。 这种情况下,要多注意下写响应或返回来的请响应,是否合乎BURST为8时的要求,是否能完整地响应过来,要不然就会将系统拉死。

我这几天做一个AXI接口的内部BLOCK RAM的5Gbps高速同时读写,有时就是因为AXI读响应在某些特别情况下响应不完整而出错,还比较不好找到出错的波形。
发表于 2012-9-18 12:59:03 | 显示全部楼层
本帖最后由 warmheard 于 2012-9-18 13:03 编辑

DDR 的burst不是必须从某个地址开始,随便一个地址都可以做burst,DDR内部会根据burst长度还有类型进行地址的控制,注意这儿不是累加,找一下DDR的手册看看就知道了。
比如:
Burst Length    Starting Address (A2 A1 A0)  Sequential Addressing (decimal)    Interleave Addressing (decimal)
8                              0 0 0         0, 1, 2, 3, 4, 5, 6, 7            0, 1, 2, 3, 4, 5, 6, 7
                               0 0 1         1, 2, 3, 0, 5, 6, 7, 4            1, 0, 3, 2, 5, 4, 7, 6
                               0 1 0         2, 3, 0, 1, 6, 7, 4, 5            2, 3, 0, 1, 6, 7, 4, 5
                               0 1 1         3, 0, 1, 2, 7, 4, 5, 6            3, 2, 1, 0, 7, 6, 5, 4
                               1 0 0         4, 5, 6, 7, 0, 1, 2, 3            4, 5, 6, 7, 0, 1, 2, 3
                               1 0 1         5, 6, 7, 4, 1, 2, 3, 0            5, 4, 7, 6, 1, 0, 3, 2
                               1 1 0         6, 7, 4, 5, 2, 3, 0, 1            6, 7, 4, 5, 2, 3, 0, 1
                               1 1 1         7, 4, 5, 6, 3, 0, 1, 2            7, 6, 5, 4, 3, 2, 1, 0

所以,如果只有0 1 5 6 。。。这些地址线拉出来的话,burst只能从地址(A[2:0])0,1,2或3开始,这并不影响实际DDR的读和写,只是不能用到全部的DDR存储空间。
发表于 2012-9-18 13:00:10 | 显示全部楼层
至于burst地址变化是Sequential Addressing  还是 Interleave Addressing  是通过寄存器配置的。
发表于 2012-9-18 21:42:04 | 显示全部楼层
回复 12# warmheard

用户在做读写地址控制的时候是每次加突发的长度吧?
发表于 2012-9-20 12:59:34 | 显示全部楼层
回复 14# gxiaob


    突发长度是通过写寄存器配置的,配好一个值之后,后面的读写突发长度都是这个值。
发表于 2012-9-20 15:09:18 | 显示全部楼层
回复 15# warmheard


    我指的是用户的控制,我需要要特定的数据存在内存的特定地址范围,如果突发为4,那我的地址该如何控制?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-12 00:59 , Processed in 0.023544 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表