在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2977|回复: 5

[求助] modelsim进行覆盖率合并时出现如下错误,各位大哥们请帮忙看一下

[复制链接]
发表于 2012-8-30 11:39:33 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
vcover merge  file.ucdb a.ucdb b.ucdb

# ** Error: (vcover-6820) Source code change detected, ignoring code coverage merge for instance /test
# ** Error: (vcover-6820) Source code change detected, ignoring code coverage merge for instance (null)



这个是不是由于a b两个测试用例代码行数不一样造成的呢?因为,我在合并时,a和b测试用例中如果仅仅是赋值不同时,可以合并,不会报以上错误,但是如果二者行数不同时,就出现以下错误。(注:test是testbench的顶层)
发表于 2012-8-30 12:25:29 | 显示全部楼层
请问覆盖率如何合并?thanks
发表于 2012-8-30 14:36:13 | 显示全部楼层
等待大侠解释。我也学习学习
 楼主| 发表于 2012-8-30 19:26:31 | 显示全部楼层
解决了,导出a.ucdb和b.ucdb时,不要将testcase的覆盖率导入进去
发表于 2012-8-30 23:08:29 | 显示全部楼层
其实即使导入了,它也只是不会把testcase的覆盖率合并,并不影响你DUT的代码覆盖率合并。
发表于 2014-1-23 15:25:34 | 显示全部楼层
我遇到过
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-2 17:57 , Processed in 0.024750 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表