在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2724|回复: 9

[求助] dc设置分频时钟有问题啊,求救

[复制链接]
发表于 2012-8-19 21:24:03 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
求救,dc设置分频时钟,一直提示source_objects was not found ,我明明设置好了输入时钟clk16,我的source用的就是clk16,为什么还会提示找不到???????????
发表于 2012-8-19 23:37:35 | 显示全部楼层
有没有create source clock
 楼主| 发表于 2012-8-20 08:43:36 | 显示全部楼层
回复 2# qwpsmile


    我设置了输入时钟,我用的输入时钟就是source clk ,难道还需要再写上create source clock??
发表于 2012-8-20 12:02:32 | 显示全部楼层
可以先 get_clocks
先看看设置 上 没有
 楼主| 发表于 2012-8-20 13:10:11 | 显示全部楼层
create_clock -period 100 -waveform [list 0 50] [get_clocks clk]
create_generated_clock -name clk16 -source clk -divide_by 16
看我的这两句语句,为什么就一直提示错误呢????找不到source clk 是什么情况呢?
发表于 2012-8-20 13:17:20 | 显示全部楼层
你不能按照语法来写命令吗。。。吐槽无力
发表于 2012-10-24 09:26:42 | 显示全部楼层
create_clock时候没有给它命名
下面source clock应该是你所命名时钟的name
发表于 2012-10-26 18:11:45 | 显示全部楼层
有意思,你的错误其实是在第一行;修改为7楼那样;
发表于 2014-9-26 09:04:58 | 显示全部楼层
8楼说的对
发表于 2014-9-26 09:15:00 | 显示全部楼层
回复 5# zhoukan1127
楼上说的没错,而且你第一句才create clock,当场就get_clocks,怎么能找到。。。逻辑上看语法也是不对的。。。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-2 21:36 , Processed in 0.040355 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表