在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: chenfengrugao

[原创] Cadence NC/Incisiv里做Functional Coverage (UVM) 分享

[复制链接]
发表于 2013-12-29 18:10:03 | 显示全部楼层
ius9.2就不能支持uvm了是么
发表于 2014-1-3 14:02:34 | 显示全部楼层
dingyixia ,ganxie louzhu fenxiang
发表于 2014-5-14 14:00:49 | 显示全部楼层
need UVM for cadence incisive
发表于 2014-6-23 09:55:37 | 显示全部楼层
回复 1# chenfengrugao


    请问楼主,covfile cov.ccf 文件一般都包含什么信息啊?除了你提到的那个还有别的什么信息吗?你可以吧你的covfile cov.ccf 文件分享一下吗?谢谢!我最近也在研究这个来着,进展不是很好啊,求帮忙!!!
发表于 2014-6-23 09:59:59 | 显示全部楼层
回复 14# wuliang0801


    还有,你这testbench时用SV搭建的吧?要是我用Verilog搭的testbench做你那一样的工作,都需要该哪里啊?求指教!!!
发表于 2014-6-23 14:12:45 | 显示全部楼层
回复 1# chenfengrugao


    楼主,你这testbench时用SV搭建的吧?要是我用Verilog搭的testbench做你那一样的工作,都需要该哪里啊?求指教!!!
 楼主| 发表于 2014-6-24 08:51:34 | 显示全部楼层
本帖最后由 chenfengrugao 于 2014-6-24 08:55 编辑

回复 15# wuliang0801


    只用Verilog不能实现类似功能。很多语法都是SV里新加的。

我这个例子中的cov.ccf就包括下面三句话:
select_functional
set_covergroup -default_goal 100
set_covergroup -per_instance_default_one

cov.ccf的详细介绍在IUS安装目录里有,叫iccug.pdf,Generating Coverage Data一章。
上传了一份iccug.pdf iccug.pdf (2.48 MB, 下载次数: 167 )
发表于 2014-6-24 13:47:18 | 显示全部楼层
回复 17# chenfengrugao


    哦,知道了。谢了!!!
发表于 2014-6-28 22:04:28 | 显示全部楼层
好东西啊啊啊
发表于 2014-8-21 16:39:15 | 显示全部楼层
回复 1# chenfengrugao


    请教楼主:我现在reg_module 中有UVM_CVR_FIELD_VALS这个参数,不知道怎么使用,收集覆盖率后,寄存器的coverage为0,不清楚怎么收集寄存器的覆盖率,多谢~~~~~~~~
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 20:46 , Processed in 0.026418 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表