在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 5832|回复: 5

[求助] vcs2009.12安装问题,头痛很久了,希望高手帮忙解决

[复制链接]
发表于 2012-7-23 18:05:22 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
按照论坛上的过程,装了vcs,打开dve没问题,可是输入vcs时
root@ubuntu:/home/we# vcs
VCS MX compilation command help:
   (1) Unified use model for all design topologies :
       vcs [libname.]<Top Module_Or_Entity_Or_Config> [compile opts]
      
   (2) Two step use model for pure verilog design only :
       vcs <source_files> [compile opts]
      
   where frequently used [compile opts] are,
      [-debug][-debug_all][-o <log_file_name>][+rad][-cm][-sdf][-P <pli tab>]
      
   For more information,
       About the use model (1), Please refer chapter [4] in VCS MX User Guide or
       About the use model (2), Please refer chapter [3] in VCS User Guide or
       Type vcs -help
接着输入 vcs -h
root@ubuntu:/home/we# vcs -h
/usr/synopsys/vcs/bin/vcsMsgReport: 行 332: /bin/basename: 没有那个文件或目录

Warning-[LNX_OS_VERUN] Unsupported Linux version
  Linux version '' is not supported on 'i686' officially, assuming linux
  compatibility by default. Set VCS_ARCH_OVERRIDE to linux or suse32 to
  override.
  Please refer to release notes for information on supported platforms.

/usr/synopsys/vcs/bin/vcsMsgReport: 行 332: /bin/basename: 没有那个文件或目录

Warning-[LINX_KRNL] Unsupported Linux kernel
  Linux kernel '3.0.0-23-generic' is not supported.
  Supported versions are 2.4* or 2.6*.

vcs script version : D-2009.12
machine name = ubuntu
machine type = linux
machine os = Linux 3.0.0-23-generic  
The FLEXlm host ID of this machine is "20cf30298454"
Compiler version = VCS-MX D-2009.12
VCS Build Date = Nov 13 2009 21:19:09
Please Note:
Not all the compile-time and runtime options described in this file
work in VCS Basic. For a list of options that do not  work in
VCS Basic, consult the VCS_Basic_Intro.pdf file.

Compile-Time Options
********************
-ams
   Enables the use of Verilog-AMS code in VCS 2-step mode.

-ams_discipline <discipline_name>
   Specifies the default discrete discipline in VerilogAMS in VCS 2-step mode.

-ams_iereport
   Provides the auto-inserted connect modules (AICMs) information in VCS 2-step
mode.

-as <assembler>
   Specifies an alternative assembler. Only applicable in incremnetal
   compile mode, which is the default. Not supported on IBM RS/6000 AIX.

-ASFLAGS <options>
   Passes options to assembler. Not supported on IBM RS/6000 AIX.
试着打开一个verilog文件时,先切换到文件保存目录 /home/we/Verilog   然后输入vcs counter.v test.v -RI出现

root@ubuntu:/home/we/Verilog# vcs counter.v test.v -RI
/usr/synopsys/vcs/bin/vcsMsgReport: 行 332: /bin/basename: 没有那个文件或目录

Warning-[LNX_OS_VERUN] Unsupported Linux version
  Linux version '' is not supported on 'i686' officially, assuming linux
  compatibility by default. Set VCS_ARCH_OVERRIDE to linux or suse32 to
  override.
  Please refer to release notes for information on supported platforms.

/usr/synopsys/vcs/bin/vcsMsgReport: 行 332: /bin/basename: 没有那个文件或目录

Warning-[LINX_KRNL] Unsupported Linux kernel
  Linux kernel '3.0.0-23-generic' is not supported.
  Supported versions are 2.4* or 2.6*.

                         Chronologic VCS (TM)
            Version D-2009.12 -- Mon Jul 23 17:58:24 2012
               Copyright (c) 1991-2009 by Synopsys Inc.
                         ALL RIGHTS RESERVED

This program is proprietary and confidential information of Synopsys Inc.
and may be used and disclosed only as authorized in a license agreement
controlling such use and disclosure.


Warning-[ACC_CLI_ON] ACC/CLI capabilities enabled
  ACC/CLI capabilities have been enabled for the entire design. For faster
  performance enable module specific capability in pli.tab file

Parsing design file 'counter.v'
Parsing design file 'test.v'
Top Level Modules:
       test
No TimeScale specified
Starting vcs inline pass...
1 module and 0 UDP read.
        However, due to incremental compilation, no re-compilation is necessary.
if [ -x ../simv ]; then chmod -x ../simv; fi
g++  -o ../simv -melf_i386   rmapats_mop.o rmapats.o gzYz_1_d.o SIM_l.o 5NrI_d.o 5NrIB_d.o        /usr/synopsys/vcs/linux/lib/libvirsim.a /usr/synopsys/vcs/linux/lib/librterrorinf.so /usr/synopsys/vcs/linux/lib/libsnpsmalloc.so     /usr/synopsys/vcs/linux/lib/libvcsnew.so     /usr/synopsys/vcs/linux/lib/vcs_save_restore_new.o /usr/synopsys/vcs/linux/lib/ctype-stubs_32.a -ldl -lm  -lc -lpthread -ldl   
/usr/synopsys/vcs/linux/lib/libvcsnew.so: undefined reference to `snpsReallocFunc'
/usr/synopsys/vcs/linux/lib/libvcsnew.so: undefined reference to `snpsCheckStrdupFunc'
/usr/synopsys/vcs/linux/lib/libvcsnew.so: undefined reference to `snpsGetMemBytes'
/usr/synopsys/vcs/linux/lib/libvcsnew.so: undefined reference to `snpsCheckMallocFunc'
/usr/synopsys/vcs/linux/lib/libvcsnew.so: undefined reference to `snpsFreeGroup'
/usr/synopsys/vcs/linux/lib/libvcsnew.so: undefined reference to `snpsGroupGetActive'
/usr/synopsys/vcs/linux/lib/libvcsnew.so: undefined reference to `snpsReallocFromGroup'
/usr/synopsys/vcs/linux/lib/libvcsnew.so: undefined reference to `snpsNamedPushGroup'
/usr/synopsys/vcs/linux/lib/libvcsnew.so: undefined reference to `snpsMemManagerIsActive'
/usr/synopsys/vcs/linux/lib/libvcsnew.so: undefined reference to `snpsHighMemory'
/usr/synopsys/vcs/linux/lib/libvcsnew.so: undefined reference to `snpsStrdupFunc'
/usr/synopsys/vcs/linux/lib/libvcsnew.so: undefined reference to `snpsLowMemory'
/usr/synopsys/vcs/linux/lib/libvcsnew.so: undefined reference to `snpsCheckReallocFunc'
/usr/synopsys/vcs/linux/lib/libvcsnew.so: undefined reference to `snpsNamedPopGroup'
/usr/synopsys/vcs/linux/lib/libvcsnew.so: undefined reference to `snpsCallocFromGroup'
/usr/synopsys/vcs/linux/lib/libvcsnew.so: undefined reference to `snpsMallocFunc'
/usr/synopsys/vcs/linux/lib/libvcsnew.so: undefined reference to `snpsGroupSize'
/usr/synopsys/vcs/linux/lib/libvcsnew.so: undefined reference to `snpsFreeFunc'
/usr/synopsys/vcs/linux/lib/libvcsnew.so: undefined reference to `snpsSetOutOfMemoryRoutine'
/usr/synopsys/vcs/linux/lib/libvcsnew.so: undefined reference to `snpsOutOfMem'
/usr/synopsys/vcs/linux/lib/libvcsnew.so: undefined reference to `snpsCheckFreeFunc'
/usr/synopsys/vcs/linux/lib/libvcsnew.so: undefined reference to `snpsMallocFromGroup'
/usr/synopsys/vcs/linux/lib/libvcsnew.so: undefined reference to `snpsVPrintf'
/usr/synopsys/vcs/linux/lib/libvcsnew.so: undefined reference to `snpsPrintf'
/usr/synopsys/vcs/linux/lib/libvcsnew.so: undefined reference to `snpsDoStackUnwind'
/usr/synopsys/vcs/linux/lib/libvcsnew.so: undefined reference to `snpsNamedAllocateGroup'
/usr/synopsys/vcs/linux/lib/libvcsnew.so: undefined reference to `snpsCheckCallocFunc'
/usr/synopsys/vcs/linux/lib/libvcsnew.so: undefined reference to `snpsCallocFunc'
/usr/synopsys/vcs/linux/lib/libvcsnew.so: undefined reference to `snpsCurrentGroup'
collect2: ld 返回 1
make: *** [product_timestamp] 错误 1
Make exited with status 2
cpu time: .072 seconds to compile + .024 seconds to elab + .496 seconds to link
root@ubuntu:/home/we/Verilog#
求解决方法!!!
发表于 2012-8-24 14:17:27 | 显示全部楼层
哥们,你搞得太悬乎了,搞不懂。解决你问题,你得开工资呀!!!
发表于 2013-11-20 16:33:50 | 显示全部楼层
我也是这个错误!!!楼主解决了么,求指教!!!
发表于 2014-8-10 17:25:38 | 显示全部楼层
谢谢分享
发表于 2015-8-31 12:25:08 | 显示全部楼层
我也是这个错误,求分享
发表于 2016-4-13 11:34:06 | 显示全部楼层
我也是这样子 求分享
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-1 10:10 , Processed in 0.029214 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表