在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 7097|回复: 9

[求助] 求助:solve...before的用法

[复制链接]
发表于 2012-6-29 14:38:57 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
各位:
     最近我在折腾UVM,今天写到约束了。有一个约束我不知道该怎么用solve...before解决了,来问问大家。
     约束是这样的:constraint c1 {
                              a+b+c==d-e;}
      我想加上solve...before,使得约束先处理d 和 e 的值,再处理a、b、c的值,该怎么写呢?是solve d,e before a,b,c;还是分开,solve d before a;solve d before b;.......solve e before c;这样?还有其他的办法么?
发表于 2012-6-29 15:19:07 | 显示全部楼层
记得可以合一起写的。直接实验一下就知道了
发表于 2012-7-26 10:04:49 | 显示全部楼层
求实验。。并告诉结果。。
发表于 2013-5-22 14:00:10 | 显示全部楼层




    你是要约束(d-e)的值之后,在约束a,b,c的值吗?

这里有个solve...before的例子:

class B;
rand bit s;
rand bit [31:0] d;
constraint c { s -> d == 0; }
constraint order { solve s before d; }
endclass

在上例中,约束order告诉求解器在求解d之前先求解s。结果是s为真的概率提高到了50%,d的选择依赖于s的取值。
于是d==0的概率为50%,d!=0将出现在另外50%的概率中。
变量排序用于强制提高某些corner case出现的频率。
发表于 2013-6-1 01:02:33 | 显示全部楼层
可以这样约束
a+b+c==$void(d)-$void(e);
发表于 2013-6-4 16:51:20 | 显示全部楼层
SEE SEE
发表于 2016-1-28 16:11:42 | 显示全部楼层
solve先运算,before后运算
发表于 2016-7-2 13:38:38 | 显示全部楼层
回复 4# zeushuang


    你好,我有点不明白啊:
    即使你不加“constraint order { solve s before d; }”,s的概率也是50%啊,我不明白这个强制改变概率体现在哪里?
发表于 2016-7-5 15:44:49 | 显示全部楼层
两个 rand变量 a和b。 solve a before b.  就是先随机出a 再随机出b。 constraint rule {solve a before b; b==a;}, 一般感觉需要rand变量在等号右边时才会用这种
发表于 2016-7-5 15:49:31 | 显示全部楼层
还有时约束里面有if(a) b==xxx。 这个时候应该也要用solve before
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-21 19:05 , Processed in 0.021384 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表