在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 10327|回复: 6

[求助] ModelSim中add wave的问题

[复制链接]
发表于 2012-6-21 10:20:32 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
请问我在如下的testbench中用了以下脚本仿真,可是信号就是加不到wave里面,所有信号都看不到,之前我也遇到过几次这个问题,一直未找到原因,请问是为什么?

module WallaceMultiplierTESTTB;


logic [23:0] multiplicand;

logic [31:0] multiplier;

logic reset;

logic clk;


// Outputs

wire [55:0] product;


// Instantiate the Unit Under Test (UUT)

WallaceMultiplierTEST uut (

.multiplicand(multiplicand),

.multiplier(multiplier),

.product(product),

.reset(reset),

.clk(clk)

);


initial begin

$monitor($time,, "multiplicand = %d, multiplier = %d, product = %d", multiplicand, multiplier, product);

// Initialize Inputs


reset = 0;

multiplicand = 0;

multiplier = 2;

clk = 0;

#100;

reset <= 1;

#7000;


for(multiplicand = 0; 1'b1; multiplicand = multiplicand - 1) begin

#200;

end

end


always begin

#100 clk = ~clk;

end
endmodule

file : run.do


vlib work
vmap work work

#################### Compile the verilog ####################
vlog -sv -f work.file -incr

#################### Start Simulation #######################
vsim -c work.WallaceMultiplierTESTTB
view wave
add wave *
发表于 2012-6-21 11:33:23 | 显示全部楼层
帮忙顶顶!!!!!!!!!
发表于 2012-6-21 12:54:02 | 显示全部楼层
vsim的时候加:-voptargs=+acc
 楼主| 发表于 2012-6-24 14:07:37 | 显示全部楼层
回复 3# tigerjade
真的好用了!!~太神奇了~是否能解释一下这是为什么啊?
发表于 2012-6-24 20:00:48 | 显示全部楼层
还是不错的,必须顶一下
发表于 2012-10-4 22:21:05 | 显示全部楼层
Thanks !!!!!
发表于 2013-8-29 10:40:33 | 显示全部楼层
我想像maxplusII中建波形,手工输入波形,怎么不好用呢。使用命令窗口吗?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条


小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-11-14 12:25 , Processed in 0.025172 second(s), 11 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表