|
马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。
您需要 登录 才可以下载或查看,没有账号?注册
x
请问我在如下的testbench中用了以下脚本仿真,可是信号就是加不到wave里面,所有信号都看不到,之前我也遇到过几次这个问题,一直未找到原因,请问是为什么?
module WallaceMultiplierTESTTB;
logic [23:0] multiplicand;
logic [31:0] multiplier;
logic reset;
logic clk;
// Outputs
wire [55:0] product;
// Instantiate the Unit Under Test (UUT)
WallaceMultiplierTEST uut (
.multiplicand(multiplicand),
.multiplier(multiplier),
.product(product),
.reset(reset),
.clk(clk)
);
initial begin
$monitor($time,, "multiplicand = %d, multiplier = %d, product = %d", multiplicand, multiplier, product);
// Initialize Inputs
reset = 0;
multiplicand = 0;
multiplier = 2;
clk = 0;
#100;
reset <= 1;
#7000;
for(multiplicand = 0; 1'b1; multiplicand = multiplicand - 1) begin
#200;
end
end
always begin
#100 clk = ~clk;
end
endmodule
file : run.do
vlib work
vmap work work
#################### Compile the verilog ####################
vlog -sv -f work.file -incr
#################### Start Simulation #######################
vsim -c work.WallaceMultiplierTESTTB
view wave
add wave * |
|