在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 6808|回复: 1

[求助] 求助:uvm中的get_response(rsp)的用法

[复制链接]
发表于 2012-6-20 15:43:37 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
各位:
     本人刚开始学UVM,关于get_response(rsp)有一些疑问。我有点搞不清楚这个rsp是从哪里来的。如果DUT是一个apb的slave,那么在apb_master_sequence中加入这句get_response(rsp),它的rsp是从哪里来的呢?是apb_monitor监听到的还是apb_master_driver自己捕获的。我知道apb_slave_driver可以发送rsp的transaction,但是DUT是apb_slave的话,slave的driver就是DUT呀,这怎么弄呀?我都绕晕了。
发表于 2012-6-20 21:54:09 | 显示全部楼层
从apb_master_driver来,driver可以将读出的数据放入rsp中,调用item_done(rsp);返回给sequencer,sequencer再把rsp返回给对应sequence

monitor一般用analysis_port通过write()将item发送给subscriber
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-17 09:49 , Processed in 0.016620 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表