在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 8403|回复: 4

[求助] [求助]VCS。综合后仿真。反标SDF文件问题

[复制链接]
发表于 2012-6-18 22:41:09 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
VCS软件做behaviorial仿真没问题。
然后,我想了解怎么个综合后仿真与时序后仿真。

我用DC将我的设计Divider16.v Divider16_TB.v 综合之后生成Netlist文件Divider16_10.v 还有sdf文件:Divider16_10.sdf文件(CLK是ideal,所以没时延)。(前端库是simc。18的库)。

现在我想用VCS进行综合后仿真。我手头还有一个SMIC的.v库文件。

请问我该如何操作~?
发表于 2012-6-19 15:41:19 | 显示全部楼层
参考vcs的文档,通过vcs的命令,读入sdf文件即可。另外,注意delay mode要设置对。vcs的文档说的很详细,建议楼主好好看一下。
 楼主| 发表于 2012-6-19 20:17:10 | 显示全部楼层
回复 2# coolmyp


    你好,我在看。
我的疑惑是,做综合后仿真或者时序后仿真的话,我VCS编译的文件是否是DC综合后的.v网表文件 还有 我的TESTBENCH文件?然后SDF文件的读取是否是在testbench文件里面建立的系统任务读取? 还有,我需要用-v指定前端库文件么?是。v的库文件吗?


我被困惑了很久了,身边没有人能帮助我。
希望你能帮助我。谢谢你~
发表于 2012-11-10 22:36:52 | 显示全部楼层
今天遇到同样的问题,应该是这样的:
testbench 文件中用$sdf_annotate读入sdf文件;使用的设计文件当然是
网表文件。
不知lz还有其他更好的方式么;如果有,不放交流下gechuanzhi2006@163.com
发表于 2015-12-24 17:21:13 | 显示全部楼层
回复 1# chinabiao


    不知您解决没有,方便粘出来个脚本借鉴下吗?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 18:02 , Processed in 0.032837 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表