在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2196|回复: 3

[求助] 求助:interface中的sequence是什么意思呀?

[复制链接]
发表于 2012-6-18 15:45:43 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
各位:
      正在看uvm-1.1库中自带的example,其中有apb的interface中一段如下:
          clocking mck @(posedge pclk);
                output  paddr,psel,penable,pwrite,pwdatal
                input prdata;
                 
                sequence at_posedge;
                     1;
                endsequence : at_posedge
          endclocking :mck

      然后我就不明白,这里面的sequence是干什么的,有什么意思。在《SystemVerilog for Verification,3nd Edition》中搜索了一下,里面“sequence”出现的地方好像都是说激励生成的时候的事情,我实在是疑惑,不知道有没有人知道呀?
发表于 2012-6-18 18:32:48 | 显示全部楼层
是assertion的中的一个层次。
大哥,你好歹读个 SystemVerilog LRM行不??
提这种问题,我果断表示无语...............................永远不自己研究和学习的坐等答案的不可能成为合格的开发人员,话重了点,不过这个问题确实让人无语...............................
发表于 2012-6-26 15:52:20 | 显示全部楼层
牛逼 的楼上学者
发表于 2012-11-21 16:01:15 | 显示全部楼层
sequence用来描述一个行为序列,几个sequence可以组合成更加复杂的有序的行为序列。这里的 at_posedge就是一个废话,因为他总是为1.
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-21 18:41 , Processed in 0.019962 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表