在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 7376|回复: 12

[求助] 求助:关于uvm的transaction的问题

[复制链接]
发表于 2012-6-7 09:15:59 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
各位:
      最近正在学习UVM的transaction与sequence。好像是说,用`uvm_do(my_tr)就能完成以下四个过程:
                           my_tr= my_transaction::type_id::create("my_tr");
                           start_item(my_tr);
                           assert(my_tr.randomize());
                           finish_item(tr);
     问题是,书上的例子是my_transaction中的所有field都是随机化的,但是我需要传送的transaction是有一些值得是从文件中读取的。比如说,我想给DUT发图像数据,因此,希望my_transaction中的r、g、b不采用随机值,而是采用从bmp中读取的数值,这个时候我该怎么办呢?
     另外,我还需要给DUT进行配置,需要另外一个config_transaction,但是总线配置有时候不光有write操作,还有read操作,这个时候的transaction与sequence该怎么弄呢?
发表于 2012-6-7 20:35:56 | 显示全部楼层
可以用constraint来random出不同的transaction,用sequence来发不同的transaction,用sequence套几个不同的sequence。
你可以把sequence做成random的,让它发你文件中的transaction。反正就是constraint和sequence。
发表于 2012-6-7 22:24:48 | 显示全部楼层
可以在post_randomize()函数里加上把bmp的值赋给transaction对应对象的操作,这个函数是一个虚函数,可以重载的。
发表于 2012-6-7 22:55:33 | 显示全部楼层
对头
还有就是 把那几个field的random关掉, 好像是rand_mode() 这个内嵌方法?
 楼主| 发表于 2012-6-8 09:32:35 | 显示全部楼层
谢谢诸位!
发表于 2012-6-13 23:11:44 | 显示全部楼层
用`uvm_do_with也可以
发表于 2013-4-2 15:34:01 | 显示全部楼层
是这么回事?
发表于 2013-4-6 13:09:37 | 显示全部楼层
和VMM的transaction是一回事吗?
 楼主| 发表于 2013-4-7 08:44:51 | 显示全部楼层
回复 9# hbyu

sorry,VMM不懂啊。
发表于 2013-4-28 15:14:43 | 显示全部楼层
二楼好像是正确的。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-12 00:21 , Processed in 0.029716 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表