在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2674|回复: 4

[求助] 包括时钟产生模块的电路综合问题

[复制链接]
发表于 2012-5-24 14:47:10 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
时钟产生担忧.jpg


电路中有如图所示的时钟产生模块,就是一个输入时钟2分频,再分频,得到。分频后的时钟送到其他模块。有些模块会同时使用两路时钟 CIC.jpg

请问这种电路综合的时候时钟约束怎么写?因为电路工作频率很低,主时钟24M ,512倍分频以后,对电路延迟的要求很小,写好主要是想要减小面积。目前写的约束就只给了主时钟,进到模块里,发现多数加法器所使用的加法单元不是最小的加法单元(只有一个是)。从实际延迟考虑,即使使用最小的加法单元,时间上的裕度应该也是挺大的。
希望谁做过这种的,能给个参考的脚本。
不胜感激啊
小弟初做数字,对于搜索出来的creat_generated_clock说明不是很懂啊。比如下面这个
4218525_1291635121f.jpg
4218525_12916351309.png

难道写约束的时候已经知道了时钟综合的结果?
还有的说时钟模块要拿出来单独综合,那综合器怎么根据时钟后面驱动的单元的数量做驱动优化?

求解答,感激不尽
发表于 2012-5-24 15:12:45 | 显示全部楼层
可以先单独综合时钟单元,再定义那些generated clock
也可以一起综合,把generated clock暂时定义在clkgen的port上
 楼主| 发表于 2012-5-24 15:27:19 | 显示全部楼层
回复 2# 陈涛


   
多谢版主,能给个包括时钟单元的综合脚本参考一下吗?


网络有点问题,连着点了几次发布。
发表于 2012-5-24 15:40:54 | 显示全部楼层
回复 2# 陈涛

陈版主;如果综合时把generated clock暂时定义在clkgen的port上,那么应该在clkgen模块添加什么样的约束。
发表于 2012-5-24 23:57:31 | 显示全部楼层
应该没有特别的约束,如果综合时出错,根据情况再想办法
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-1 09:19 , Processed in 0.027805 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表