在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 5052|回复: 6

[求助] 求助各位达人一个,uvm中关于sequencer的问题

[复制链接]
发表于 2012-5-7 21:45:41 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
uvm的sequence类中,如果使用了宏declare_p_sequencer,就会声明一个p_sequencer变量。那在什么时候,需要用到这个变量呢,如果使用m_sequencer 不可以么?
发表于 2012-5-9 13:11:44 | 显示全部楼层
如果可以,你搜索一下论坛,找一篇关于《sequencer和sequence机制》的文章,中文的,里面很好地介绍了这个序列机制。
发表于 2012-5-15 22:35:49 | 显示全部楼层
回复 2# gaurson


    我也有这方面的困惑,在论坛上收不到,能将这篇文章发到我邮箱上吗。fanyongwinner@163.com
谢谢
发表于 2012-5-16 23:35:29 | 显示全部楼层
论坛上肯定有的,但题目不一定是这个,你就搜索sequence或者sequencer就好了。
发表于 2012-6-1 16:51:43 | 显示全部楼层
`declare_p_sequencer宏是用来申明virtual sequence的,如果没有用到virtual sequence,直接只用m_sequencer就可以了。

用declare_p_sequencer(VSEQUENCER)申明一个p_sequencer变量,这个变量的类型是virutal sequencer: VSEQUENCER.  在sequence调用过程中,会首先通过$cast将m_sequencer赋值到p_sequencer, 这样就可以用到p_sequencer的里面的子sequencer. 如果使用m_sequencer, 就不能完成virual sequence的功能。
发表于 2012-6-1 20:23:46 | 显示全部楼层
云里雾里的,没太听懂。什么地方有例子?
发表于 2022-2-22 18:05:50 | 显示全部楼层
本帖最后由 许晴125 于 2022-2-22 18:06 编辑

这就是一个SystemVerilog的语法问题:
class bird;
bit [3:0] src =0;
bit [3:0] drc = 1;
endclass
class parr extends bird;
    bit [3:0] test =2;
endclass

bird A;
parr B;
parr C;
              A = new();
              B = new();
              C = new();
              A = B;
              $cast(C,A);
$display("A.src = %d,A.drc = %d",A.src,A.drc);
$display("C.test = %d",C.test);

一句话概括就是,基类的句柄指向扩展类的对象的时候,不可以访问扩展类的变量,要想访问的话,必须做类型转换。如果你没有访问扩展类的变量的需求的话,就完全可以不关注p_sequencer。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-8 04:10 , Processed in 0.034850 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表