在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 5182|回复: 2

[求助] modelsim仿真出现的白色虚线 求解释

[复制链接]
发表于 2012-4-19 09:37:16 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
我调用了altgx   仿真时出现白色虚线    请问这表示什么  另外蓝色线是否代表高阻,红色表示未知
图片可能看不清    仿真图在word里
仿真图.doc (234.5 KB, 下载次数: 1 )


X2F$ZA37SOYYEG@EP162G0E.jpg
AO$V@ROZGMUGLQ]UL[7FGP4.jpg
发表于 2012-4-19 09:51:30 | 显示全部楼层
第一次见到,涨见识了。期待高人解答。
发表于 2017-4-11 16:44:38 | 显示全部楼层
之前我也出现了这个问题,发现是程序中这个信号不存在(例化的时候我写错了)后来改过来就好了。你可以去试试看是不是这个原因
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-7 07:20 , Processed in 0.023683 second(s), 11 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表