在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 10773|回复: 8

[求助] 求助各位non-unate clock翻译成中文 怎么说好咧?非单边时钟么?

[复制链接]
发表于 2012-4-12 10:22:57 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
如题,还有重叠时钟(Overlapping Clocks
再聚合时钟(Reconverging Clocks


这些翻译出来总感觉不对劲,有没有更专业点的说法?
拜托了^
发表于 2012-4-12 14:55:21 | 显示全部楼层
non-unate clock 意思是输出与输入无函数关系,即不相关的
发表于 2012-4-13 10:30:12 | 显示全部楼层
请问2楼老大,什么情况会导致出现 non-unate clock 警告?
又怎么解决?
发表于 2012-4-15 08:54:05 | 显示全部楼层
回复 4# zhq415758192


    假如设计中确实需要这样的逻辑,综合时出现这样的警告如何处理?还是不用理会?
发表于 2012-12-29 14:34:28 | 显示全部楼层
回复 6# zhq415758192


    请问,

在dc的时候如果遇到这警告,那么给apr的时候这个时钟定义点要怎么办?还是保持原来在dc下的定义么?
发表于 2012-12-31 18:04:46 | 显示全部楼层
有些时候类似于分频,也会报这类问题,是没有正确定义输出波形,对于异步系统这些问题都是可以WAIVE的,同步系统需要斟酌下。
发表于 2012-12-31 19:06:58 | 显示全部楼层




    1.请问,“类似于分频,也会报这类问题”是什么意思?

   2.我有个设计,定义有分频时钟,分频的定一点是每个reg/Q端。
rtl中各个分频module 的output输出定义如下。
clk2 = (en) ? reg0/Q : 1'B0 ;
clk32 = reg4/Q ;
我的两个分频时钟定一点为reg0/Q和reg4/Q。dc报告了这个warning,不知道能否忽略。分频时钟和src clk是当做同步处理的。
发表于 2013-1-3 08:25:47 | 显示全部楼层
回复 5# shura_1206


    如果lib里面已经有non_unate或者default unate的时序时,在应用是可以忽略这个warning。如果lib里面没有的话,应该是lib的缺失

对于特别敏感的clock path,可以用case analysis将另一端固定
发表于 2016-9-26 10:49:46 | 显示全部楼层
回复 5# shura_1206
能否告知您现在是怎么处理这样的warning?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-21 12:18 , Processed in 0.043013 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表