在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: zhww722

[求助] Ncverilog后仿反标SDF不正确

[复制链接]
 楼主| 发表于 2012-4-7 11:09:14 | 显示全部楼层
回复 9# otogyg


    加了maxdelays选项,delay_mode没有加,delay_mode要设置吗
发表于 2012-4-7 11:14:15 | 显示全部楼层
回复 10# zhww722


    这个,看来咱们的情况还是有点不一样啊。

    我是这么做的:

     pt: write_sdf -context Verilog -output top.sdf

      nc: $sdf_annotate("top.sdf",top,,"sdf.log","MAXIMUM","1.0:1.0:1.0")
     ncelab: -maxdelays
                 -delay_mode path
                 -pathpulse

希望对你有帮助
发表于 2012-4-7 11:15:03 | 显示全部楼层
回复 11# zhww722


    要设置的。delay_mode path
 楼主| 发表于 2012-4-7 11:24:37 | 显示全部楼层
回复 13# otogyg


        我再去弄一下,不知道是不是后端给的RC参数和top文件有问题,top.v文件里有衬底之类的,不是数字单元。
 楼主| 发表于 2012-4-7 11:33:31 | 显示全部楼层
郁闷啊 还是不行
发表于 2012-4-7 11:42:25 | 显示全部楼层
回复 15# zhww722


    还是一样的问题?

   你再仔细看一下,pt和nc的手册吧。
   最有可能是环境设置的问题。

   帮不了你了。
 楼主| 发表于 2012-4-7 12:20:08 | 显示全部楼层
回复 16# otogyg


    恩 我再查查什么原因
发表于 2012-4-7 15:24:55 | 显示全部楼层
回复 17# zhww722


    找到原因了吗?


   试下这个吧

   write_sdf -context Verilog -input_port_nets -output_port_nets -no_edge top.sdf
 楼主| 发表于 2012-4-7 15:33:29 | 显示全部楼层
回复 18# otogyg


    en 好的 ,我现在怀疑版图给的top.v有问题。
发表于 2012-5-19 18:22:01 | 显示全部楼层
timescale没设对?
verilog timescale仿真的时候老是会有问题
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 05:35 , Processed in 0.027651 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表