在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 4217|回复: 3

[求助] IC Compiler 有关参考库的问题!(已解决)

[复制链接]
发表于 2012-3-27 16:49:44 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 我的宝来 于 2012-3-28 14:04 编辑

我刚学ICC,有一些基础的问题,麻烦各位高人帮忙解决,谢谢!
我现在遇到以下几个问题:
1.用my.tf和 *.db就可以产生MW格式的库吗,是所有模式的std和IO的db都要写进去吗?
2.参考库的建立,在设置参考库时使用的命令set_mw_lib_reference my_design \
    -mw_reference_library { 库列表 }中,这个库列表中是库设置脚本里用到的*.db文件吗?
3.在运行上述命令后提示Warning,提示加栽库失败,不知是什么问题.
4.在参考库设置完成后,如何打开MW库?
发表于 2012-3-27 17:53:24 | 显示全部楼层
1.用my.tf和 *.db就可以产生MW格式的库吗,是所有模式的std和IO的db都要写进去吗?
进行设计的时候需要建立一个design library,*.tf是为了建立你的design library用的。
具体参见命令:create_mw_lib
*.db是为了在.synopsys_dc.setup中设置library时用的。

2.参考库的建立,在设置参考库时使用的命令set_mw_lib_reference my_design \
    -mw_reference_library { 库列表 }中,这个库列表中是库设置脚本里用到的*.db文件吗?
-mw_reference_library在create_mw_lib时就用到,.db是logic library,而这个地方需要的是physical library.  是一个MW的库,foundary会提供,MW库的组成清查相关书籍。

3.在运行上述命令后提示Warning,提示加栽库失败,不知是什么问题.
库用错了,各式不对。

4.在参考库设置完成后,如何打开MW库?
open_mw_lib
 楼主| 发表于 2012-3-28 12:05:24 | 显示全部楼层
谢谢damonzhao 同学,我先试试,如果有问题再请教!
发表于 2012-3-28 13:47:22 | 显示全部楼层
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-27 16:28 , Processed in 0.021155 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表