在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2247|回复: 7

[求助] 求教Verilog 代码问题

[复制链接]
发表于 2012-3-21 17:09:05 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 zdg2011 于 2012-3-21 17:31 编辑

以下的这段代码在Modelsim下compile之后report sb.v(13): Illegal left-hand side in continuous assignment.请问为何?


另外:如果在always语句内存在局部声明变量,在modelsim编译时会报错,这是为什么?

module sb (me,sl,data);
input [1:3] sl;
input data;
output [7:0] me;
wire  [7:0] me;
wire [1:3] sl;
wire data;
  
  assign me [sl]=data;

endmodule
发表于 2012-3-21 19:28:32 | 显示全部楼层




    wire 不能这么用, 如果定义寄存器是可以的,可以考虑改为如下

reg [7:0] me;

always @(posedge clk)
   me[sl] <= #1 data;
发表于 2012-3-21 21:11:25 | 显示全部楼层
ls 说的对
发表于 2012-3-21 22:35:33 | 显示全部楼层


wire 不能这么用, 如果定义寄存器是可以的,可以考虑改为如下

reg [7:0] me;

always @(pos ...
bluray2005 发表于 2012-3-21 19:28




    正解,学习了。
 楼主| 发表于 2012-3-21 22:44:04 | 显示全部楼层
如果在always语句内存在局部声明变量,在modelsim编译时会报错,这是为什么?
发表于 2012-3-22 09:36:16 | 显示全部楼层
回复 5# zdg2011


    在 begin后面加一个随意的标识符,然后就可以begin 。。。end之间声明局部变量了
发表于 2012-3-22 17:12:51 | 显示全部楼层
在这里纠正一个个人习惯问题 数据位宽最好是从高到低, 如代码中【1:3】最好写成【2:0】
 楼主| 发表于 2012-3-23 14:05:34 | 显示全部楼层
问题已解决,谢谢楼上各位,呵呵。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-20 21:19 , Processed in 0.029299 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表