在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 5219|回复: 9

[讨论] PT分析DC综合后版图前的时序

[复制链接]
发表于 2012-2-3 15:50:30 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
DC综合后直接在design_vision里report_timing分析时序,建立时间和保持时间都满足。我现在想用PT对DC综合后版图前的网表做静态时序分析。如果不读入DC产生的sdf文件,时序分析显示建立时间不满足,保持时间满足。读入sdf文件时用PT分析的结果和在DC中分析结果一样。我的问题是在用PT对综合后产生的网表做静态时序分析时是否要把DC产生的sdf文件读入?有的人说要加,有的说不用。请知情者帮我确定下
发表于 2012-2-3 22:30:48 | 显示全部楼层
这个可以不分析。要分析不用加sdf。加sdf和dc就没有两样了。
发表于 2012-2-4 22:09:02 | 显示全部楼层




    你的design综合后,Hold time就clean了? 是你搞错了吧?
发表于 2012-2-4 23:08:06 | 显示全部楼层
setup 和hold都ok 没有问题吧。 如果有小的hold violation 可以等 apr后fix,但不等于一定要有hold violation啊。
 楼主| 发表于 2012-2-6 10:14:38 | 显示全部楼层
回复 4# ttder


    是的,DC分析的建立时间和保持时间都满足,没有问题。难道DC综合后一定会出现保持时间违例吗!
发表于 2012-2-6 10:33:40 | 显示全部楼层
绝大部分都会出现的,不排除特例电路会有这样的情况。但我做了这么多年,从来没遇见过这种特例,你可以自己分析一下电路,看看为什么会Hold time满足了。
 楼主| 发表于 2012-2-6 10:41:21 | 显示全部楼层
回复 7# ttder


    好的,谢谢
发表于 2012-12-6 13:45:34 | 显示全部楼层
路过学习下
发表于 2012-12-11 15:56:54 | 显示全部楼层
我也是一直只有setup time违例,而没有hold违例呢。。会不会是因为设计比较小呢?或者是我的约束添加错误了吗?
发表于 2013-4-19 15:07:19 | 显示全部楼层
我也遇到了同样的问题  不知道楼主的问题是怎么解决的  到底要不要加SDF文件啊?我看了几个关于PT使用的教程,在介绍pre_layout(也就是DC之后)的PT流程步骤的时候,都是三步:
1.将DC综合后的网表文件(.v)读入PT,然后设置current_design
2.将DC综合后得到的约束文件.sdc读入PT
3.然后就是report_timing得到你想要的时序路径信息
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-24 02:27 , Processed in 0.030137 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表