在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 4467|回复: 5

[求助] 请教Modelsim仿真testbench中读二进制文件的方法

[复制链接]
发表于 2012-2-3 11:20:17 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
需要读取二进制文件作为输入数据。

尝试用$fread,但是Modelsim报warning

最后只能把二进制文件转为16进制文本,再用$readmemh搞定。


请问编写testbench时,有直接读取二进制文件的方法吗?
发表于 2012-2-3 11:58:48 | 显示全部楼层
$readmemb
可以直接读取二进制文件
 楼主| 发表于 2012-2-3 14:28:22 | 显示全部楼层
$readmemb  这个是读取二进制文本的,还是不能读纯粹的二进制格式文件。
发表于 2012-2-6 08:15:46 | 显示全部楼层
回复 3# ishock1


    那把二进制格式文件转换成二进制文本不就可以了吗?
发表于 2012-2-8 14:02:48 | 显示全部楼层
用VHDL的话,我倒是知道怎么做。或者你读二进制文件用VHDL,然后混仿。
发表于 2012-2-8 15:42:30 | 显示全部楼层
这个用TB做比较麻烦,用其他工具做又很快。
除非你的工程很庞大,有专业的组来做TB,否则这些偏门的功能都使用其他的软件实现吧。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-11 04:33 , Processed in 0.036437 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表