在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 9057|回复: 3

[求助] Technology Map Viewer与RTL Viewer描述电路不一样

[复制链接]
发表于 2011-12-22 15:32:48 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
以下Code是一个接口电路中的一个DFF,
always @(posedge scl or negedge rstb)
begin
  if (!rstb)  dff0 <= 1'b1;
  else  dff0 <= isda;
end
用Quartus II 7.2综合后,查看Technology Map Viewer - Post-Mapping,为什么isda会经过一个COMB(NOT)后输入到dff的D端呢?而查看RTL Viewer描述的电路结构与Code所描述的一样。如果我故意将isda取反输给dff0,Technology中就不会出现COMB(NOT),这是什么引起的呢?
 楼主| 发表于 2011-12-22 18:22:00 | 显示全部楼层
回复 1# speedUp
已经找到问题了,它在DFF的输出口加了个反相器,所以逻辑是对的.至于为什么综合出这样子的电路,就不是很明白了.
发表于 2011-12-22 22:28:16 | 显示全部楼层
我觉得RTL只是一个简单的综合,而map确实结合这芯片结构来综合的,比如altera的FF他本身没有反向端口,所以要通过查找表来生成一个not门
发表于 2011-12-23 23:52:28 | 显示全部楼层
技术视图是FPGA真实的实现,而RTL只是门电路结构
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 06:12 , Processed in 0.031498 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表